common/mitx045: Remove carrier support

main
AndreiGrozav 2018-08-13 14:30:07 +01:00 committed by AndreiGrozav
parent 449911fd8f
commit 1c75c7b9ca
3 changed files with 0 additions and 397 deletions

View File

@ -1,229 +0,0 @@
# create board design
# default ports
create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 ddr
create_bd_intf_port -mode Master -vlnv xilinx.com:interface:iic_rtl:1.0 iic_main
create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 fixed_io
create_bd_port -dir O spi0_csn_2_o
create_bd_port -dir O spi0_csn_1_o
create_bd_port -dir O spi0_csn_0_o
create_bd_port -dir I spi0_csn_i
create_bd_port -dir I spi0_clk_i
create_bd_port -dir O spi0_clk_o
create_bd_port -dir I spi0_sdo_i
create_bd_port -dir O spi0_sdo_o
create_bd_port -dir I spi0_sdi_i
create_bd_port -dir O spi1_csn_2_o
create_bd_port -dir O spi1_csn_1_o
create_bd_port -dir O spi1_csn_0_o
create_bd_port -dir I spi1_csn_i
create_bd_port -dir I spi1_clk_i
create_bd_port -dir O spi1_clk_o
create_bd_port -dir I spi1_sdo_i
create_bd_port -dir O spi1_sdo_o
create_bd_port -dir I spi1_sdi_i
create_bd_port -dir I -from 63 -to 0 gpio_i
create_bd_port -dir O -from 63 -to 0 gpio_o
create_bd_port -dir O -from 63 -to 0 gpio_t
# hdmi interface
create_bd_port -dir O hdmi_out_clk
create_bd_port -dir O hdmi_hsync
create_bd_port -dir O hdmi_vsync
create_bd_port -dir O hdmi_data_e
create_bd_port -dir O -from 15 -to 0 hdmi_data
# i2s
create_bd_port -dir O -type clk i2s_mclk
create_bd_intf_port -mode Master -vlnv analog.com:interface:i2s_rtl:1.0 i2s
# spdif audio
create_bd_port -dir O spdif
# instance: sys_ps7
ad_ip_instance processing_system7 sys_ps7
source $ad_hdl_dir/projects/common/mitx045/mitx045_system_ps7.tcl
ad_ip_parameter sys_ps7 CONFIG.PCW_TTC0_PERIPHERAL_ENABLE 0
ad_ip_parameter sys_ps7 CONFIG.PCW_EN_CLK1_PORT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_EN_RST1_PORT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_EN_CLK2_PORT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_EN_RST2_PORT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ 100.0
ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ 200.0
ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ 200.0
ad_ip_parameter sys_ps7 CONFIG.PCW_USE_FABRIC_INTERRUPT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_IRQ_F2P_INTR 1
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE 1
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_EMIO_GPIO_IO 64
ad_ip_parameter sys_ps7 CONFIG.PCW_USE_DMA0 1
ad_ip_parameter sys_ps7 CONFIG.PCW_USE_DMA1 1
ad_ip_parameter sys_ps7 CONFIG.PCW_USE_DMA2 1
ad_ip_parameter sys_ps7 CONFIG.PCW_IRQ_F2P_MODE REVERSE
ad_ip_parameter sys_ps7 CONFIG.PCW_SPI0_PERIPHERAL_ENABLE 1
ad_ip_parameter sys_ps7 CONFIG.PCW_SPI0_SPI0_IO EMIO
ad_ip_parameter sys_ps7 CONFIG.PCW_SPI1_PERIPHERAL_ENABLE 1
ad_ip_parameter sys_ps7 CONFIG.PCW_SPI1_SPI1_IO EMIO
ad_ip_instance axi_iic axi_iic_main
ad_ip_parameter axi_iic_main CONFIG.USE_BOARD_FLOW true
ad_ip_parameter axi_iic_main CONFIG.IIC_BOARD_INTERFACE Custom
ad_ip_instance xlconcat sys_concat_intc
ad_ip_parameter sys_concat_intc CONFIG.NUM_PORTS 16
ad_ip_instance proc_sys_reset sys_rstgen
ad_ip_parameter sys_rstgen CONFIG.C_EXT_RST_WIDTH 1
# hdmi peripherals
ad_ip_instance axi_clkgen axi_hdmi_clkgen
ad_ip_instance axi_hdmi_tx axi_hdmi_core
ad_ip_parameter axi_hdmi_core CONFIG.INTERFACE 16_BIT
ad_ip_instance axi_vdma axi_hdmi_dma
ad_ip_parameter axi_hdmi_dma CONFIG.C_M_AXIS_MM2S_TDATA_WIDTH 64
ad_ip_parameter axi_hdmi_dma CONFIG.C_USE_MM2S_FSYNC 1
ad_ip_parameter axi_hdmi_dma CONFIG.C_INCLUDE_S2MM 0
# audio peripherals
ad_ip_instance clk_wiz sys_audio_clkgen
ad_ip_parameter sys_audio_clkgen CONFIG.CLKOUT1_REQUESTED_OUT_FREQ 12.288
ad_ip_parameter sys_audio_clkgen CONFIG.USE_LOCKED false
ad_ip_parameter sys_audio_clkgen CONFIG.USE_RESET true
ad_ip_parameter sys_audio_clkgen CONFIG.USE_PHASE_ALIGNMENT false
ad_ip_parameter sys_audio_clkgen CONFIG.RESET_TYPE ACTIVE_LOW
ad_ip_parameter sys_audio_clkgen CONFIG.PRIM_SOURCE No_buffer
ad_ip_instance axi_spdif_tx axi_spdif_tx_core
ad_ip_parameter axi_spdif_tx_core CONFIG.DMA_TYPE 1
ad_ip_parameter axi_spdif_tx_core CONFIG.S_AXI_ADDRESS_WIDTH 16
ad_ip_instance axi_i2s_adi axi_i2s_adi
ad_ip_parameter axi_i2s_adi CONFIG.DMA_TYPE 1
ad_ip_parameter axi_i2s_adi CONFIG.S_AXI_ADDRESS_WIDTH 16
# system reset/clock definitions
ad_connect sys_cpu_clk sys_ps7/FCLK_CLK0
ad_connect sys_200m_clk sys_ps7/FCLK_CLK1
ad_connect sys_cpu_reset sys_rstgen/peripheral_reset
ad_connect sys_cpu_resetn sys_rstgen/peripheral_aresetn
ad_connect sys_cpu_clk sys_rstgen/slowest_sync_clk
ad_connect sys_rstgen/ext_reset_in sys_ps7/FCLK_RESET0_N
# interface connections
ad_connect ddr sys_ps7/DDR
ad_connect gpio_i sys_ps7/GPIO_I
ad_connect gpio_o sys_ps7/GPIO_O
ad_connect gpio_t sys_ps7/GPIO_T
ad_connect fixed_io sys_ps7/FIXED_IO
ad_connect iic_main axi_iic_main/iic
ad_connect sys_200m_clk axi_hdmi_clkgen/clk
# spi connections
ad_connect spi0_csn_2_o sys_ps7/SPI0_SS2_O
ad_connect spi0_csn_1_o sys_ps7/SPI0_SS1_O
ad_connect spi0_csn_0_o sys_ps7/SPI0_SS_O
ad_connect spi0_csn_i sys_ps7/SPI0_SS_I
ad_connect spi0_clk_i sys_ps7/SPI0_SCLK_I
ad_connect spi0_clk_o sys_ps7/SPI0_SCLK_O
ad_connect spi0_sdo_i sys_ps7/SPI0_MOSI_I
ad_connect spi0_sdo_o sys_ps7/SPI0_MOSI_O
ad_connect spi0_sdi_i sys_ps7/SPI0_MISO_I
ad_connect spi1_csn_2_o sys_ps7/SPI1_SS2_O
ad_connect spi1_csn_1_o sys_ps7/SPI1_SS1_O
ad_connect spi1_csn_0_o sys_ps7/SPI1_SS_O
ad_connect spi1_csn_i sys_ps7/SPI1_SS_I
ad_connect spi1_clk_i sys_ps7/SPI1_SCLK_I
ad_connect spi1_clk_o sys_ps7/SPI1_SCLK_O
ad_connect spi1_sdo_i sys_ps7/SPI1_MOSI_I
ad_connect spi1_sdo_o sys_ps7/SPI1_MOSI_O
ad_connect spi1_sdi_i sys_ps7/SPI1_MISO_I
# hdmi
ad_connect sys_cpu_clk axi_hdmi_core/vdma_clk
ad_connect sys_cpu_clk axi_hdmi_dma/m_axis_mm2s_aclk
ad_connect axi_hdmi_core/hdmi_clk axi_hdmi_clkgen/clk_0
ad_connect axi_hdmi_core/hdmi_out_clk hdmi_out_clk
ad_connect axi_hdmi_core/hdmi_16_hsync hdmi_hsync
ad_connect axi_hdmi_core/hdmi_16_vsync hdmi_vsync
ad_connect axi_hdmi_core/hdmi_16_data_e hdmi_data_e
ad_connect axi_hdmi_core/hdmi_16_data hdmi_data
ad_connect axi_hdmi_core/vdma_valid axi_hdmi_dma/m_axis_mm2s_tvalid
ad_connect axi_hdmi_core/vdma_data axi_hdmi_dma/m_axis_mm2s_tdata
ad_connect axi_hdmi_core/vdma_ready axi_hdmi_dma/m_axis_mm2s_tready
ad_connect axi_hdmi_core/vdma_fs axi_hdmi_dma/mm2s_fsync
ad_connect axi_hdmi_core/vdma_fs axi_hdmi_core/vdma_fs_ret
# spdif audio
ad_connect sys_cpu_clk axi_spdif_tx_core/DMA_REQ_ACLK
ad_connect sys_cpu_clk sys_ps7/DMA0_ACLK
ad_connect sys_cpu_resetn axi_spdif_tx_core/DMA_REQ_RSTN
ad_connect sys_ps7/DMA0_REQ axi_spdif_tx_core/DMA_REQ
ad_connect sys_ps7/DMA0_ACK axi_spdif_tx_core/DMA_ACK
ad_connect sys_200m_clk sys_audio_clkgen/clk_in1
ad_connect sys_cpu_resetn sys_audio_clkgen/resetn
ad_connect sys_audio_clkgen/clk_out1 axi_spdif_tx_core/spdif_data_clk
ad_connect spdif axi_spdif_tx_core/spdif_tx_o
# i2s audio
ad_connect sys_cpu_clk axi_i2s_adi/DMA_REQ_RX_ACLK
ad_connect sys_cpu_clk axi_i2s_adi/DMA_REQ_TX_ACLK
ad_connect sys_cpu_clk sys_ps7/DMA1_ACLK
ad_connect sys_cpu_clk sys_ps7/DMA2_ACLK
ad_connect sys_cpu_resetn axi_i2s_adi/DMA_REQ_RX_RSTN
ad_connect sys_cpu_resetn axi_i2s_adi/DMA_REQ_TX_RSTN
ad_connect sys_ps7/DMA1_REQ axi_i2s_adi/DMA_REQ_TX
ad_connect sys_ps7/DMA1_ACK axi_i2s_adi/DMA_ACK_TX
ad_connect sys_ps7/DMA2_REQ axi_i2s_adi/DMA_REQ_RX
ad_connect sys_ps7/DMA2_ACK axi_i2s_adi/DMA_ACK_RX
ad_connect sys_audio_clkgen/clk_out1 i2s_mclk
ad_connect sys_audio_clkgen/clk_out1 axi_i2s_adi/DATA_CLK_I
ad_connect i2s axi_i2s_adi/I2S
# interrupts
ad_connect sys_concat_intc/dout sys_ps7/IRQ_F2P
ad_connect sys_concat_intc/In15 axi_hdmi_dma/mm2s_introut
ad_connect sys_concat_intc/In14 axi_iic_main/iic2intc_irpt
ad_connect sys_concat_intc/In13 GND
ad_connect sys_concat_intc/In12 GND
ad_connect sys_concat_intc/In11 GND
ad_connect sys_concat_intc/In10 GND
ad_connect sys_concat_intc/In9 GND
ad_connect sys_concat_intc/In8 GND
ad_connect sys_concat_intc/In7 GND
ad_connect sys_concat_intc/In6 GND
ad_connect sys_concat_intc/In5 GND
ad_connect sys_concat_intc/In4 GND
ad_connect sys_concat_intc/In3 GND
ad_connect sys_concat_intc/In2 GND
ad_connect sys_concat_intc/In1 GND
ad_connect sys_concat_intc/In0 GND
# interconnects
ad_cpu_interconnect 0x41600000 axi_iic_main
ad_cpu_interconnect 0x79000000 axi_hdmi_clkgen
ad_cpu_interconnect 0x43000000 axi_hdmi_dma
ad_cpu_interconnect 0x70e00000 axi_hdmi_core
ad_cpu_interconnect 0x75c00000 axi_spdif_tx_core
ad_cpu_interconnect 0x77600000 axi_i2s_adi
ad_mem_hp0_interconnect sys_cpu_clk sys_ps7/S_AXI_HP0
ad_mem_hp0_interconnect sys_cpu_clk axi_hdmi_dma/M_AXI_MM2S

View File

@ -1,58 +0,0 @@
# constraints
# hdmi
set_property -dict {PACKAGE_PIN E15 IOSTANDARD LVCMOS18} [get_ports hdmi_out_clk]
set_property -dict {PACKAGE_PIN D15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_vsync]
set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_hsync]
set_property -dict {PACKAGE_PIN E16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data_e]
set_property -dict {PACKAGE_PIN G17 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[0]]
set_property -dict {PACKAGE_PIN G16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[1]]
set_property -dict {PACKAGE_PIN H16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[2]]
set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[3]]
set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[4]]
set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[5]]
set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[6]]
set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[7]]
set_property -dict {PACKAGE_PIN F14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[8]]
set_property -dict {PACKAGE_PIN G14 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[9]]
set_property -dict {PACKAGE_PIN F13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[10]]
set_property -dict {PACKAGE_PIN G12 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[11]]
set_property -dict {PACKAGE_PIN E13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[12]]
set_property -dict {PACKAGE_PIN D13 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[13]]
set_property -dict {PACKAGE_PIN F12 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[14]]
set_property -dict {PACKAGE_PIN E12 IOSTANDARD LVCMOS18 IOB TRUE} [get_ports hdmi_data[15]]
# spdif
set_property -dict {PACKAGE_PIN F17 IOSTANDARD LVCMOS18} [get_ports spdif]
# i2s
set_property -dict {PACKAGE_PIN C14 IOSTANDARD LVCMOS18} [get_ports i2s_mclk]
set_property -dict {PACKAGE_PIN B17 IOSTANDARD LVCMOS18} [get_ports i2s_bclk]
set_property -dict {PACKAGE_PIN A17 IOSTANDARD LVCMOS18} [get_ports i2s_lrclk]
set_property -dict {PACKAGE_PIN C17 IOSTANDARD LVCMOS18} [get_ports i2s_sdata_out]
set_property -dict {PACKAGE_PIN B16 IOSTANDARD LVCMOS18} [get_ports i2s_sdata_in]
# iic
set_property -dict {PACKAGE_PIN B15 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_scl]
set_property -dict {PACKAGE_PIN A15 IOSTANDARD LVCMOS18 PULLTYPE PULLUP} [get_ports iic_sda]
# gpio (switches, leds and such)
set_property -dict {PACKAGE_PIN B14 IOSTANDARD LVCMOS18} [get_ports gpio_bd[0]] ; ## GPIO_DIP_SW4_PB0
set_property -dict {PACKAGE_PIN A14 IOSTANDARD LVCMOS18} [get_ports gpio_bd[1]] ; ## GPIO_DIP_SW5_PB1
set_property -dict {PACKAGE_PIN A13 IOSTANDARD LVCMOS18} [get_ports gpio_bd[2]] ; ## GPIO_DIP_SW6_PB2
set_property -dict {PACKAGE_PIN A12 IOSTANDARD LVCMOS18} [get_ports gpio_bd[3]] ; ## GPIO_DIP_SW7_PB3
set_property -dict {PACKAGE_PIN C7 IOSTANDARD LVCMOS15} [get_ports gpio_bd[4]] ; ## GPIO_SW_0
set_property -dict {PACKAGE_PIN B7 IOSTANDARD LVCMOS15} [get_ports gpio_bd[5]] ; ## GPIO_SW_1
set_property -dict {PACKAGE_PIN A7 IOSTANDARD LVCMOS15} [get_ports gpio_bd[6]] ; ## GPIO_SW_2
set_property -dict {PACKAGE_PIN B9 IOSTANDARD LVCMOS15} [get_ports gpio_bd[7]] ; ## GPIO_SW_3
set_property -dict {PACKAGE_PIN A8 IOSTANDARD LVCMOS15} [get_ports gpio_bd[8]] ; ## GPIO_SW_4
set_property -dict {PACKAGE_PIN A9 IOSTANDARD LVCMOS15} [get_ports gpio_bd[9]] ; ## GPIO_SW_5
set_property -dict {PACKAGE_PIN B10 IOSTANDARD LVCMOS15} [get_ports gpio_bd[10]] ; ## GPIO_SW_6
set_property -dict {PACKAGE_PIN A10 IOSTANDARD LVCMOS15} [get_ports gpio_bd[11]] ; ## GPIO_SW_7

View File

@ -1,110 +0,0 @@
ad_ip_parameter sys_ps7 CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_RESET_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_ENET0_RESET_IO {MIO 47}
ad_ip_parameter sys_ps7 CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_USB0_RESET_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_USB0_RESET_IO {MIO 7}
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C0_I2C0_IO {MIO 50 .. 51}
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C0_RESET_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_I2C0_RESET_IO {MIO 46}
ad_ip_parameter sys_ps7 CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_SD0_GRP_CD_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_SD0_GRP_CD_IO {MIO 14}
ad_ip_parameter sys_ps7 CONFIG.PCW_SD0_GRP_WP_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50}
ad_ip_parameter sys_ps7 CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {50}
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {0}
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_GRP_IO1_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_TTC0_PERIPHERAL_ENABLE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_PARTNO {Custom}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {32 Bit}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_CL {7}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_CWL {5}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_T_RCD {7}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_T_RP {7}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_T_RC {49.5}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {36.0}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_T_FAW {45.0}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.078}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.074}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.059}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.055}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.482}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.484}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.417}
ad_ip_parameter sys_ps7 CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.416}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_16_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_16_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_17_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_17_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_18_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_18_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_19_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_19_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_20_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_20_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_21_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_21_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_22_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_22_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_23_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_23_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_24_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_24_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_25_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_25_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_26_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_26_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_27_IOTYPE {HSTL 1.8V}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_27_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_28_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_29_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_30_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_31_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_32_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_33_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_34_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_35_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_36_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_37_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_38_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_39_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_40_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_41_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_42_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_43_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_44_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_45_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_48_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_49_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_52_PULLUP {disabled}
ad_ip_parameter sys_ps7 CONFIG.PCW_MIO_53_PULLUP {disabled}