axi_ip_constr: Fix constraints

The filter for CDC registers were too generic, and a few non-CDC
register were set as asynchronous register.
main
Istvan Csomortani 2017-02-27 16:25:09 +02:00
parent 1c8e63cb68
commit 1d6ddacfd6
1 changed files with 7 additions and 5 deletions

View File

@ -1,9 +1,11 @@
set_property ASYNC_REG TRUE \
[get_cells -hier *xfer_toggle_*] \
[get_cells -hier *xfer_state_*] \
[get_cells -hier *count_toggle_m*] \
[get_cells -hier *ad_rst_sync_*]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_count_toggle_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_count_toggle_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *ad_rst_sync*}]
set_false_path -from [get_cells -hier -filter {name =~ *d_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_xfer_state_m1_reg && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *up_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *d_xfer_toggle_m1_reg && IS_SEQUENTIAL}]