From 213e852e11461cdad31d1771414087ae3f0a127b Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 18 Apr 2014 18:57:18 +0300 Subject: [PATCH] motor_control: Initial commit --- library/axi_mc_current_monitor/ad7401.v | 207 +++++ .../axi_mc_current_monitor.v | 799 ++++++++++++++++++ .../axi_mc_current_monitor_ip.tcl | 27 + .../axi_mc_current_monitor/dec256sinc24b.v | 195 +++++ library/axi_mc_speed/axi_mc_speed.v | 327 +++++++ library/axi_mc_speed/axi_mc_speed_ip.tcl | 24 + library/axi_mc_speed/debouncer.v | 101 +++ library/axi_mc_speed/delay_30_degrees.v | 228 +++++ library/axi_mc_speed/speed_detector.v | 262 ++++++ .../axi_mc_torque_ctrl/axi_mc_torque_ctrl.v | 535 ++++++++++++ .../axi_mc_torque_ctrl_ip.tcl | 29 + .../axi_mc_torque_ctrl/bldc_sim_fpga_cw.ngc | 3 + .../axi_mc_torque_ctrl/bldc_sim_fpga_cw.xdc | 4 + .../axi_mc_torque_ctrl/bldc_sim_fpga_cw_bb.v | 53 ++ .../axi_mc_torque_ctrl/control_registers.v | 229 +++++ library/axi_mc_torque_ctrl/motor_driver.v | 272 ++++++ .../motor_control/common/motor_control_bd.tcl | 380 +++++++++ projects/motor_control/zed/system_bd.tcl | 5 + projects/motor_control/zed/system_constr.xdc | 112 +++ projects/motor_control/zed/system_project.tcl | 13 + projects/motor_control/zed/system_top.v | 313 +++++++ 21 files changed, 4118 insertions(+) create mode 100755 library/axi_mc_current_monitor/ad7401.v create mode 100755 library/axi_mc_current_monitor/axi_mc_current_monitor.v create mode 100755 library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl create mode 100755 library/axi_mc_current_monitor/dec256sinc24b.v create mode 100755 library/axi_mc_speed/axi_mc_speed.v create mode 100755 library/axi_mc_speed/axi_mc_speed_ip.tcl create mode 100755 library/axi_mc_speed/debouncer.v create mode 100755 library/axi_mc_speed/delay_30_degrees.v create mode 100755 library/axi_mc_speed/speed_detector.v create mode 100755 library/axi_mc_torque_ctrl/axi_mc_torque_ctrl.v create mode 100755 library/axi_mc_torque_ctrl/axi_mc_torque_ctrl_ip.tcl create mode 100755 library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.ngc create mode 100755 library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.xdc create mode 100755 library/axi_mc_torque_ctrl/bldc_sim_fpga_cw_bb.v create mode 100755 library/axi_mc_torque_ctrl/control_registers.v create mode 100755 library/axi_mc_torque_ctrl/motor_driver.v create mode 100755 projects/motor_control/common/motor_control_bd.tcl create mode 100755 projects/motor_control/zed/system_bd.tcl create mode 100755 projects/motor_control/zed/system_constr.xdc create mode 100755 projects/motor_control/zed/system_project.tcl create mode 100755 projects/motor_control/zed/system_top.v diff --git a/library/axi_mc_current_monitor/ad7401.v b/library/axi_mc_current_monitor/ad7401.v new file mode 100755 index 000000000..c1c090464 --- /dev/null +++ b/library/axi_mc_current_monitor/ad7401.v @@ -0,0 +1,207 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : AD7401.v +// MODULE NAME : AD7401 +// AUTHOR : Adrian Costina +// AUTHOR'S EMAIL : adrian.costina@analog.com +// ----------------------------------------------------------------------------- +// KEYWORDS : Analog Devices, Motor Control, AD7401 +// ----------------------------------------------------------------------------- +// PURPOSE : Driver for +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : Active high reset signal +// Clock Domains : fpga_clk_i, 100 MHz +// adc_clk_i, up to 20 MHz +// Critical Timing : N/A +// Test Features : N/A +// Asynchronous I/F : N/A +// Instantiations : N/A +// Synthesizable (y/n) : Y +// Target Device : +// Other : +// ----------------------------------------------------------------------------- +// ----------------------------------------------------------------------------- + +`timescale 1 ns / 100 ps //Use a timescale that is best for simulation. + +//------------------------------------------------------------------------------ +//----------- Module Declaration ----------------------------------------------- +//------------------------------------------------------------------------------ + +module ad7401 +//----------- Ports Declarations ----------------------------------------------- +( + //clock and reset signals + input fpga_clk_i, // system clock + input adc_clk_i, // up to 20 MHZ clock + input reset_i, // active high reset signal + + //IP control and data interface + output reg [15:0] data_o, // data read from the ADC + output reg data_rd_ready_o, // when set to high the data read from the ADC is available on the data_o bus + output reg adc_status_o, + + //AD7401 control and data interface + input adc_mdata_i, // AD7401 MDAT pin + output adc_mclkin_o // AD7401 MCLKIN pin +); + +//------------------------------------------------------------------------------ +//----------- Wire Declarations ------------------------------------------------ +//------------------------------------------------------------------------------ + +wire data_rdy_s; +wire [15:0] data_s ; + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +//State machine +reg [4:0] present_state; +reg [4:0] next_state; + +reg [15:0] complemented_data_s; +reg data_rdy_s_d1; +reg data_rdy_s_d2; + +//------------------------------------------------------------------------------ +//----------- Local Parameters ------------------------------------------------- +//------------------------------------------------------------------------------ + +//States +localparam WAIT_DATA_RDY_HIGH_STATE = 5'b00001; +localparam ACQUIRE_DATA_STATE = 5'b00010; +localparam COMPLEMENT_DATA = 5'b00100; +localparam TRANSFER_DATA_STATE = 5'b01000; +localparam WAIT_DATA_RDY_LOW_STATE = 5'b10000; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ +assign adc_mclkin_o = adc_clk_i; // use clock signal for driver and for ADC + +// synchronize data on fpga_clki +always @(posedge fpga_clk_i ) +begin + data_rdy_s_d1 <= data_rdy_s; + data_rdy_s_d2 <= data_rdy_s_d1; +end + +always @(posedge fpga_clk_i) +begin + if(reset_i == 1'b1) + begin + present_state <= WAIT_DATA_RDY_HIGH_STATE; + adc_status_o <= 1'b0; + end + else + begin + present_state <= next_state; + case (present_state) + WAIT_DATA_RDY_HIGH_STATE: + begin + data_rd_ready_o <= 1'b0; + end + COMPLEMENT_DATA: + begin + complemented_data_s <= ~data_s + 1; + data_rd_ready_o <= 1'b0; + end + ACQUIRE_DATA_STATE: // Acquire data from the filter + begin + data_o <= complemented_data_s; + data_rd_ready_o <= 1'b0; + adc_status_o <= 1'b1; + end + TRANSFER_DATA_STATE: // Transfer data to the upper module to write in memory + begin + data_rd_ready_o <= 1'b1; + end + WAIT_DATA_RDY_LOW_STATE: + begin + data_rd_ready_o <= 1'b0; + end + endcase + end +end + +always @(present_state, data_rdy_s_d2 ) +begin + next_state <= present_state; + case (present_state) + WAIT_DATA_RDY_HIGH_STATE: + begin + if(data_rdy_s_d2 == 1'b1) + begin + next_state <= COMPLEMENT_DATA; + end + end + COMPLEMENT_DATA: + begin + next_state <= ACQUIRE_DATA_STATE; + end + ACQUIRE_DATA_STATE: + begin + next_state <= TRANSFER_DATA_STATE; + end + TRANSFER_DATA_STATE: + begin + next_state <= WAIT_DATA_RDY_LOW_STATE; + end + WAIT_DATA_RDY_LOW_STATE: + begin + if(data_rdy_s_d2 == 1'b0) + begin + next_state <= WAIT_DATA_RDY_HIGH_STATE; + end + end + default: + begin + next_state <= WAIT_DATA_RDY_HIGH_STATE; + end + endcase +end + +dec256sinc24b filter( + .mclkout_i(adc_clk_i), + .reset_i(reset_i), + .mdata_i(adc_mdata_i), + .data_rdy_o(data_rdy_s), + .data_o(data_s)); + +endmodule diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor.v b/library/axi_mc_current_monitor/axi_mc_current_monitor.v new file mode 100755 index 000000000..ddc5b27b3 --- /dev/null +++ b/library/axi_mc_current_monitor/axi_mc_current_monitor.v @@ -0,0 +1,799 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module axi_mc_current_monitor +#( + + parameter C_S_AXI_MIN_SIZE = 32'hffff, + parameter C_BASEADDR = 32'hffffffff, + parameter C_HIGHADDR = 32'h00000000 +) +( + +// physical interface + + input adc_ia_dat_i, + output adc_ia_clk_o, + input adc_ib_dat_i, + output adc_ib_clk_o, + input adc_it_dat_i, + output adc_it_clk_o, + input adc_vbus_dat_i, + output adc_vbus_clk_o, + + input ref_clk, + + output [15:0] ia_o, + output [15:0] ib_o, + output [15:0] it_o, + output i_ready_o, + + // dma interface + + output adc_clk_o, + output adc_dwr_o, + output [63:0] adc_ddata_o, + output adc_dsync_o, + input adc_dovf_i, + input adc_dunf_i, + + // axi interface + + input s_axi_aclk, + input s_axi_aresetn, + input s_axi_awvalid, + input [31:0] s_axi_awaddr, + output s_axi_awready, + input s_axi_wvalid, + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + output s_axi_wready, + output s_axi_bvalid, + output [1:0] s_axi_bresp, + input s_axi_bready, + input s_axi_arvalid, + input [31:0] s_axi_araddr, + output s_axi_arready, + output s_axi_rvalid, + output [1:0] s_axi_rresp, + output [31:0] s_axi_rdata, + input s_axi_rready, + + // debug signals + + output adc_mon_valid, + output [31:0] adc_mon_data +); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ + +reg adc_valid = 'd0; +reg [63:0] adc_data = 'd0; +reg [47:0] adc_data_3 = 'd0; +reg [31:0] up_rdata = 'd0; +reg up_ack = 'd0; +reg [1:0] adc_data_cnt = 'd0; +reg [9:0] adc_clk_cnt = 'd0; // used to generate 10 MHz clock for ADCs +reg adc_clk_reg = 'd0; // used to generate 10 MHz clock for ADCs + +reg acq_run_reg = 'd0; // register used for synchronizing data acquisition +reg adc_valid_3 = 'd0; +reg [47:0] adc_data_3_1110 = 'd0; +reg [47:0] adc_data_3_1101 = 'd0; +reg [47:0] adc_data_3_1011 = 'd0; +reg [47:0] adc_data_3_0111 = 'd0; +reg [63:0] adc_data_1110 = 'd0; +reg [63:0] adc_data_1101 = 'd0; +reg [63:0] adc_data_1011 = 'd0; +reg [63:0] adc_data_0111 = 'd0; +reg adc_dsync_r_3 = 'd0; +reg adc_dsync_r = 'd0; + +//------------------------------------------------------------------------------ +//----------- Wires Declarations ----------------------------------------------- +//------------------------------------------------------------------------------ + +// internal clocks & resets + +wire adc_rst; +wire up_rstn; +wire up_clk; + +// internal signals + +wire up_sel_s; +wire up_wr_s; +wire [13:0] up_addr_s; +wire [31:0] up_wdata_s; +wire [31:0] up_adc_common_rdata_s; +wire up_adc_common_ack_s; +wire [31:0] up_rdata_0_s; +wire [31:0] up_rdata_1_s; +wire [31:0] up_rdata_2_s; +wire [31:0] up_rdata_3_s; +wire up_ack_0_s; +wire up_ack_1_s; +wire up_ack_2_s; +wire up_ack_3_s; + +wire adc_status_a_s; +wire [15:0] adc_data_ia_s ; +wire data_rd_ready_ia_s; +wire adc_status_b_s; +wire [15:0] adc_data_ib_s; +wire adc_status_it_s; +wire [15:0] adc_data_it_s; +wire [15:0] adc_data_it_n_s; +wire adc_status_vbus_s; +wire [15:0] adc_data_vbus_s ; +wire adc_enable_ia; +wire adc_enable_ib; +wire adc_enable_it; +wire adc_enable_vbus; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ + +// signal name changes + +assign up_clk = s_axi_aclk; +assign up_rstn = s_axi_aresetn; + +assign adc_clk_o = ref_clk; // use reference clock to send data to the dma +assign adc_dwr_o = adc_valid; +assign adc_ddata_o = adc_data; +assign adc_dsync_o = adc_dsync_r; +// monitor signals + +assign adc_mon_valid = data_rd_ready_ia_s; +assign adc_mon_data[15: 0] = adc_data[15:0]; +assign adc_mon_data[31:16] = {adc_enable_vbus, adc_enable_it, adc_enable_ib, adc_enable_ia, adc_rst, data_rd_ready_ia_s, adc_data_cnt, adc_ia_clk_o, adc_data_ia_s[6:0]}; + +// current outputs + +assign i_ready_o = data_rd_ready_ia_s; +assign ia_o = adc_data_ia_s; +assign ib_o = adc_data_ib_s; +assign it_o = adc_data_it_n_s; +assign adc_data_it_n_s = 65535 - adc_data_it_s; + +// adc clock +assign adc_clk_s = adc_clk_reg; + +// ADC clock generation + +always @(posedge ref_clk) +begin + if(adc_clk_cnt < 10'd4) + begin + adc_clk_cnt <= adc_clk_cnt + 1; + end + else + begin + adc_clk_cnt <= 10'd0; + adc_clk_reg <= ~adc_clk_reg; + end +end + +// adc channels - dma interface + +always @(posedge ref_clk) +begin + if(data_rd_ready_ia_s == 1'b1) + begin + adc_valid_3 <= adc_data_cnt[0] | adc_data_cnt[1]; + adc_dsync_r_3 <= adc_data_cnt[0] | ~adc_data_cnt[1]; + adc_data_3_1110[47:32] <= adc_data_vbus_s; + adc_data_3_1110[31:16] <= adc_data_it_n_s; + adc_data_3_1110[15:0] <= adc_data_ib_s; + adc_data_3_1101[47:32] <= adc_data_vbus_s; + adc_data_3_1101[31:16] <= adc_data_it_n_s; + adc_data_3_1101[15:0] <= adc_data_ia_s; + adc_data_3_1011[47:32] <= adc_data_vbus_s; + adc_data_3_1011[31:16] <= adc_data_ib_s; + adc_data_3_1011[15:0] <= adc_data_ia_s; + adc_data_3_0111[47:32] <= adc_data_it_n_s; + adc_data_3_0111[31:16] <= adc_data_ib_s; + adc_data_3_0111[15:0] <= adc_data_ia_s; + case(adc_data_cnt) + 2'b11: + begin + adc_data_1110[63:48] <= adc_data_vbus_s; + adc_data_1110[47:32] <= adc_data_it_n_s; + adc_data_1110[31:16] <= adc_data_ib_s; + adc_data_1110[15:0] <= adc_data_3_1110[47:32]; + adc_data_1101[63:48] <= adc_data_vbus_s; + adc_data_1101[47:32] <= adc_data_it_n_s; + adc_data_1101[31:16] <= adc_data_ia_s; + adc_data_1101[15:0] <= adc_data_3_1101[47:32]; + adc_data_1011[63:48] <= adc_data_vbus_s; + adc_data_1011[47:32] <= adc_data_ib_s; + adc_data_1011[31:16] <= adc_data_ia_s; + adc_data_1011[15:0] <= adc_data_3_1011[47:32]; + adc_data_0111[63:48] <= adc_data_it_n_s; + adc_data_0111[47:32] <= adc_data_ib_s; + adc_data_0111[31:16] <= adc_data_ia_s; + adc_data_0111[15:0] <= adc_data_3_0111[47:32]; + end + 2'b10: + begin + adc_data_1110[63:48] <= adc_data_it_n_s; + adc_data_1110[47:32] <= adc_data_ib_s; + adc_data_1110[31:16] <= adc_data_3_1110[47:32]; + adc_data_1110[15:0] <= adc_data_3_1110[31:16]; + adc_data_1101[63:48] <= adc_data_it_n_s; + adc_data_1101[47:32] <= adc_data_ia_s; + adc_data_1101[31:16] <= adc_data_3_1101[47:32]; + adc_data_1101[15:0] <= adc_data_3_1101[31:16]; + adc_data_1011[63:48] <= adc_data_ib_s; + adc_data_1011[47:32] <= adc_data_ia_s; + adc_data_1011[31:16] <= adc_data_3_1011[47:32]; + adc_data_1011[15:0] <= adc_data_3_1011[31:16]; + adc_data_0111[63:48] <= adc_data_ib_s; + adc_data_0111[47:32] <= adc_data_ia_s; + adc_data_0111[31:16] <= adc_data_3_0111[47:32]; + adc_data_0111[15:0] <= adc_data_3_0111[31:16]; + end + 2'b01: + begin + adc_data_1110[63:48] <= adc_data_ib_s; + adc_data_1110[47:32] <= adc_data_3_1110[47:32]; + adc_data_1110[31:16] <= adc_data_3_1110[31:16]; + adc_data_1110[15:0] <= adc_data_3_1110[15:0]; + adc_data_1101[63:48] <= adc_data_ia_s; + adc_data_1101[47:32] <= adc_data_3_1101[47:32]; + adc_data_1101[31:16] <= adc_data_3_1101[31:16]; + adc_data_1101[15:0] <= adc_data_3_1101[15:0]; + adc_data_1011[63:48] <= adc_data_ia_s; + adc_data_1011[47:32] <= adc_data_3_1011[47:32]; + adc_data_1011[31:16] <= adc_data_3_1011[31:16]; + adc_data_1011[15:0] <= adc_data_3_1011[15:0]; + adc_data_0111[63:48] <= adc_data_ia_s; + adc_data_0111[47:32] <= adc_data_3_0111[47:32]; + adc_data_0111[31:16] <= adc_data_3_0111[31:16]; + adc_data_0111[15:0] <= adc_data_3_0111[15:0]; + end + 2'b00: + begin + adc_data_1110[63:48] <= 16'hdead; + adc_data_1110[47:32] <= 16'hdead; + adc_data_1110[31:16] <= 16'hdead; + adc_data_1110[15:0] <= 16'hdead; + adc_data_1101[63:48] <= 16'hdead; + adc_data_1101[47:32] <= 16'hdead; + adc_data_1101[31:16] <= 16'hdead; + adc_data_1101[15:0] <= 16'hdead; + adc_data_1011[63:48] <= 16'hdead; + adc_data_1011[47:32] <= 16'hdead; + adc_data_1011[31:16] <= 16'hdead; + adc_data_1011[15:0] <= 16'hdead; + adc_data_0111[63:48] <= 16'hdead; + adc_data_0111[47:32] <= 16'hdead; + adc_data_0111[31:16] <= 16'hdead; + adc_data_0111[15:0] <= 16'hdead; + end + endcase + end +end + +always @(posedge ref_clk) +begin + if(data_rd_ready_ia_s == 1'b1) + begin + case({adc_enable_vbus, adc_enable_it, adc_enable_ib, adc_enable_ia}) + 4'b1111: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= 1'b1; + adc_data[63:48] <= adc_data_vbus_s; + adc_data[47:32] <= adc_data_it_n_s; + adc_data[31:16] <= adc_data_ib_s; + adc_data[15: 0] <= adc_data_ia_s; + end + 4'b1110: + begin + adc_dsync_r <= adc_dsync_r_3; + adc_valid <= adc_valid_3; + adc_data <= adc_data_1110; + end + 4'b1101: + begin + adc_dsync_r <= adc_dsync_r_3; + adc_valid <= adc_valid_3; + adc_data <= adc_data_1101; + end + 4'b1100: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_vbus_s; + adc_data[47:32] <= adc_data_it_n_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b1011: + begin + adc_dsync_r <= adc_dsync_r_3; + adc_valid <= adc_valid_3; + adc_data <= adc_data_1011; + end + 4'b1010: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_vbus_s; + adc_data[47:32] <= adc_data_ib_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b1001: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_vbus_s; + adc_data[47:32] <= adc_data_ia_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b1000: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[1] & adc_data_cnt[0]; + adc_data[63:48] <= adc_data_vbus_s; + adc_data[47:32] <= adc_data[63:48]; + adc_data[31:16] <= adc_data[47:32]; + adc_data[15: 0] <= adc_data[31:16]; + end + 4'b0111: + begin + adc_dsync_r <= adc_dsync_r_3; + adc_valid <= adc_valid_3; + adc_data <= adc_data_0111; + end + 4'b0110: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_it_n_s; + adc_data[47:32] <= adc_data_ib_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b0101: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_it_n_s; + adc_data[47:32] <= adc_data_ia_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b0100: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[1] & adc_data_cnt[0]; + adc_data[63:48] <= adc_data_it_n_s; + adc_data[47:32] <= adc_data[63:48]; + adc_data[31:16] <= adc_data[47:32]; + adc_data[15: 0] <= adc_data[31:16]; + end + 4'b0011: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[0]; + adc_data[63:48] <= adc_data_ib_s; + adc_data[47:32] <= adc_data_ia_s; + adc_data[31:16] <= adc_data[63:48]; + adc_data[15: 0] <= adc_data[47:32]; + end + 4'b0010: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[1] & adc_data_cnt[0]; + adc_data[63:48] <= adc_data_ib_s; + adc_data[47:32] <= adc_data[63:48]; + adc_data[31:16] <= adc_data[47:32]; + adc_data[15: 0] <= adc_data[31:16]; + end + 4'b0001: + begin + adc_dsync_r <= 1'b1; + adc_data_3 <= 48'd0; + adc_valid <= adc_data_cnt[1] & adc_data_cnt[0]; + adc_data[63:48] <= adc_data_ia_s; + adc_data[47:32] <= adc_data[63:48]; + adc_data[31:16] <= adc_data[47:32]; + adc_data[15: 0] <= adc_data[31:16]; + end + default: + begin + adc_dsync_r <= 1'b0; + adc_data_3 <= 48'd0; + adc_valid <= 1'b1; + adc_data[63:48] <= 16'hdead; + adc_data[47:32] <= 16'hdead; + adc_data[31:16] <= 16'hdead; + adc_data[15: 0] <= 16'hdead; + end + endcase + adc_data_cnt <= adc_data_cnt + 2'b1; + end + else + begin + adc_valid <= 1'b0; + adc_data <= adc_data; + adc_data_cnt <= adc_data_cnt; + end +end + +// processor read interface + +always @(negedge up_rstn or posedge up_clk) +begin + if(up_rstn == 0) + begin + up_rdata <= 'd0; + up_ack <= 'd0; + end + else + begin + up_rdata <= up_adc_common_rdata_s | up_rdata_0_s | up_rdata_1_s | up_rdata_2_s | up_rdata_3_s ; + up_ack <= up_adc_common_ack_s | up_ack_0_s | up_ack_1_s | up_ack_2_s | up_ack_3_s ; + end +end + +// adc interfaces + +ad7401 ia_if( + .fpga_clk_i(ref_clk), + .adc_clk_i(adc_clk_s), + .reset_i(adc_rst), + .adc_status_o(adc_status_a_s), + .data_o(adc_data_ia_s), + .data_rd_ready_o(data_rd_ready_ia_s), + .adc_mdata_i(adc_ia_dat_i), + .adc_mclkin_o(adc_ia_clk_o)); + +ad7401 ib_if( + .fpga_clk_i(ref_clk), + .adc_clk_i(adc_clk_s), + .reset_i(adc_rst), + .adc_status_o(adc_status_b_s), + .data_o(adc_data_ib_s), + .data_rd_ready_o(), + .adc_mdata_i(adc_ib_dat_i), + .adc_mclkin_o(adc_ib_clk_o)); + +ad7401 it_if( + .fpga_clk_i(ref_clk), + .adc_clk_i(adc_clk_s), + .reset_i(adc_rst), + .adc_status_o(adc_status_it_s), + .data_o(adc_data_it_s), + .data_rd_ready_o(), + .adc_mdata_i(adc_it_dat_i), + .adc_mclkin_o(adc_it_clk_o)); + +ad7401 vbus_if( + .fpga_clk_i(ref_clk), + .adc_clk_i(adc_clk_s), + .reset_i(adc_rst), + .adc_status_o(adc_status_vbus_s), + .data_o(adc_data_vbus_s), + .data_rd_ready_o(), + .adc_mdata_i(adc_vbus_dat_i), + .adc_mclkin_o(adc_vbus_clk_o)); + +up_adc_channel #(.PCORE_ADC_CHID(0)) i_up_adc_channel_ia( + .adc_clk(adc_clk_s), + .adc_rst(adc_rst), + .adc_enable(adc_enable_ia), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(1'b0), + .up_adc_pn_oos(1'b0), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata_0_s), + .up_ack(up_ack_0_s)); + +up_adc_channel #(.PCORE_ADC_CHID(1)) i_up_adc_channel_ib( + .adc_clk(adc_clk_s), + .adc_rst(adc_rst), + .adc_enable(adc_enable_ib), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(), + .up_adc_pn_oos(), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata_1_s), + .up_ack(up_ack_1_s)); + +up_adc_channel #(.PCORE_ADC_CHID(2)) i_up_adc_channel_it( + .adc_clk(adc_clk_s), + .adc_rst(adc_rst), + .adc_enable(adc_enable_it), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(), + .up_adc_pn_oos(), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata_2_s), + .up_ack(up_ack_2_s)); + +up_adc_channel #(.PCORE_ADC_CHID(3)) i_up_adc_channel_vbus( + .adc_clk(adc_clk_s), + .adc_rst(adc_rst), + .adc_enable(adc_enable_vbus), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(), + .up_adc_pn_oos(), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata_3_s), + .up_ack(up_ack_3_s)); + + +// common processor control + +up_adc_common i_up_adc_common( + .mmcm_rst(), + .adc_clk(adc_clk_s), + .adc_rst(adc_rst), + .adc_r1_mode(), + .adc_ddr_edgesel(), + .adc_pin_mode(), + .adc_status(1'b1), + .adc_status_pn_err(), + .adc_status_pn_oos(), + .adc_status_or(), + .adc_status_ovf(adc_dovf_i), + .adc_status_unf(adc_dunf_i), + .adc_clk_ratio(32'd1), + + .delay_clk(1'b0), + .delay_rst(), + .delay_sel(), + .delay_rwn(), + .delay_addr(), + .delay_wdata(), + .delay_rdata(5'd0), + .delay_ack_t(1'b0), + .delay_locked(1'b0), + + .drp_clk(1'd0), + .drp_rst(), + .drp_sel(), + .drp_wr(), + .drp_addr(), + .drp_wdata(), + .drp_rdata(16'd0), + .drp_ready(1'b0), + .drp_locked(1'b0), + + .up_usr_chanmax(), + .adc_usr_chanmax(8'd0), + + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_adc_common_rdata_s), + .up_ack(up_adc_common_ack_s) +); + +// up bus interface + +up_axi #( + .PCORE_BASEADDR(C_BASEADDR), + .PCORE_HIGHADDR(C_HIGHADDR)) + i_up_axi( + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_axi_awvalid(s_axi_awvalid), + .up_axi_awaddr(s_axi_awaddr), + .up_axi_awready(s_axi_awready), + .up_axi_wvalid(s_axi_wvalid), + .up_axi_wdata(s_axi_wdata), + .up_axi_wstrb(s_axi_wstrb), + .up_axi_wready(s_axi_wready), + .up_axi_bvalid(s_axi_bvalid), + .up_axi_bresp(s_axi_bresp), + .up_axi_bready(s_axi_bready), + .up_axi_arvalid(s_axi_arvalid), + .up_axi_araddr(s_axi_araddr), + .up_axi_arready(s_axi_arready), + .up_axi_rvalid(s_axi_rvalid), + .up_axi_rresp(s_axi_rresp), + .up_axi_rdata(s_axi_rdata), + .up_axi_rready(s_axi_rready), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata), + .up_ack(up_ack)); + +endmodule + +// *************************************************************************** +// *************************************************************************** + diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl b/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl new file mode 100755 index 000000000..83e0d58e1 --- /dev/null +++ b/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl @@ -0,0 +1,27 @@ +# ip + +source ../scripts/adi_env.tcl +source $ad_hdl_dir/library/scripts/adi_ip.tcl + +adi_ip_create axi_mc_current_monitor +adi_ip_files axi_mc_current_monitor [list \ + "$ad_hdl_dir/library/common/ad_rst.v" \ + "$ad_hdl_dir/library/common/up_axi.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ + "$ad_hdl_dir/library/common/up_xfer_cntrl.v" \ + "$ad_hdl_dir/library/common/up_xfer_status.v" \ + "$ad_hdl_dir/library/common/up_clock_mon.v" \ + "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_adc_common.v" \ + "$ad_hdl_dir/library/common/up_adc_channel.v" \ + "dec256sinc24b.v" \ + "ad7401.v" \ + "axi_mc_current_monitor.v" ] + +adi_ip_properties axi_mc_current_monitor + +ipx::save_core [ipx::current_core] + + diff --git a/library/axi_mc_current_monitor/dec256sinc24b.v b/library/axi_mc_current_monitor/dec256sinc24b.v new file mode 100755 index 000000000..70068732a --- /dev/null +++ b/library/axi_mc_current_monitor/dec256sinc24b.v @@ -0,0 +1,195 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : dec256sinc24b.v +// MODULE NAME : dec256sinc24b +// ----------------------------------------------------------------------------- +// KEYWORDS : sigma-delta modulator +// ----------------------------------------------------------------------------- +// PURPOSE : Implements a SINC filter for a sigma-delta modulator +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : +// Clock Domains : +// Critical Timing : +// Test Features : +// Asynchronous I/F : +// Instantiations : +// Synthesizable (y/n) : +// Target Device : +// Other : +// ----------------------------------------------------------------------------- + +`timescale 1 ns / 100 ps //Use a timescale that is best for simulation. + +//------------------------------------------------------------------------------ +//----------- Module Declaration ----------------------------------------------- +//------------------------------------------------------------------------------ +module dec256sinc24b +( + input reset_i, + input mclkout_i, + input mdata_i, + + output data_rdy_o, // signals when new data is available + output reg [15:0] data_o // outputs filtered data +); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ + +reg [23:0] ip_data1; +reg [23:0] acc1; +reg [23:0] acc2; +reg [23:0] acc3; +reg [23:0] acc3_d1; +reg [23:0] acc3_d2; +reg [23:0] diff1; +reg [23:0] diff2; +reg [23:0] diff3; +reg [23:0] diff1_d; +reg [23:0] diff2_d; +reg [7:0] word_count; +reg word_clk; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ + +assign data_rdy_o = word_clk; + +/* Perform the Sinc ACTION*/ +always @(mdata_i) +begin + if(mdata_i == 0) + begin + ip_data1 <= 0; + end + else + begin + ip_data1 <= 1; + end +end + +/*ACCUMULATOR (INTEGRATOR) +* Perform the accumulation (IIR) at the speed of the modulator. +* mclkout_i = modulators conversion bit rate */ +always @(negedge mclkout_i or posedge reset_i) +begin + if( reset_i == 1'b1 ) + begin + /*initialize acc registers on reset*/ + acc1 <= 0; + acc2 <= 0; + acc3 <= 0; + end + else + begin + /*perform accumulation process*/ + acc1 <= acc1 + ip_data1; + acc2 <= acc2 + acc1; + acc3 <= acc3 + acc2; + end +end + +/*DECIMATION STAGE (MCLKOUT_I/ WORD_CLK) */ +always@(posedge mclkout_i or posedge reset_i ) +begin + if(reset_i == 1'b1) + begin + word_count <= 0; + end + else + begin + word_count <= word_count + 1; + end +end + +always @(word_count) +begin + word_clk <= word_count[7]; +end + +/*DIFFERENTIATOR (including decimation stage) +* Perform the differentiation stage (FIR) at a lower speed. +WORD_CLK = output word rate */ +always @(posedge word_clk or posedge reset_i) +begin + if(reset_i == 1'b1) + begin + acc3_d2 <= 0; + diff1_d <= 0; + diff2_d <= 0; + diff1 <= 0; + diff2 <= 0; + diff3 <= 0; + end + else + begin + diff1 <= acc3 - acc3_d2; + diff2 <= diff1 - diff1_d; + diff3 <= diff2 - diff2_d; + acc3_d2 <= acc3; + diff1_d <= diff1; + diff2_d <= diff2; + end +end + +/* Clock the Sinc output into an output register + Clocking Sinc Output into an Output Register +WORD_CLK = output word rate */ +always @(posedge word_clk) +begin + data_o[15] <= diff3[23]; + data_o[14] <= diff3[22]; + data_o[13] <= diff3[21]; + data_o[12] <= diff3[20]; + data_o[11] <= diff3[19]; + data_o[10] <= diff3[18]; + data_o[9] <= diff3[17]; + data_o[8] <= diff3[16]; + data_o[7] <= diff3[15]; + data_o[6] <= diff3[14]; + data_o[5] <= diff3[13]; + data_o[4] <= diff3[12]; + data_o[3] <= diff3[11]; + data_o[2] <= diff3[10]; + data_o[1] <= diff3[9]; + data_o[0] <= diff3[8]; +end + +endmodule diff --git a/library/axi_mc_speed/axi_mc_speed.v b/library/axi_mc_speed/axi_mc_speed.v new file mode 100755 index 000000000..77dc4a595 --- /dev/null +++ b/library/axi_mc_speed/axi_mc_speed.v @@ -0,0 +1,327 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module axi_mc_speed +#( + parameter C_S_AXI_MIN_SIZE = 32'hffff, + parameter C_BASEADDR = 32'hffffffff, + parameter C_HIGHADDR = 32'h00000000, + parameter MOTOR_CONTROL_REVISION = 1 +) +//----------- Ports Declarations ----------------------------------------------- +( +// physical interface + input [2:0] position_i, + input [2:0] bemf_i, + output [2:0] position_o, + output [31:0] speed_o, + output new_speed_o, + input [1:0] hall_bemf_i, + + input ref_clk, + + // dma interface + + output adc_clk_o, + output adc_dwr_o, + output [31:0] adc_ddata_o, + input adc_dovf_i, + input adc_dunf_i, + +// axi interface + + input s_axi_aclk, + input s_axi_aresetn, + input s_axi_awvalid, + input [31:0] s_axi_awaddr, + output s_axi_awready, + input s_axi_wvalid, + input [31:0] s_axi_wdata, + input [ 3:0] s_axi_wstrb, + output s_axi_wready, + output s_axi_bvalid, + output [ 1:0] s_axi_bresp, + input s_axi_bready, + input s_axi_arvalid, + input [31:0] s_axi_araddr, + output s_axi_arready, + output s_axi_rvalid, + output [ 1:0] s_axi_rresp, + output [31:0] s_axi_rdata, + input s_axi_rready, + +// debug signals + + output adc_mon_valid, + output [31:0] adc_mon_data); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ + +reg adc_valid = 'd0; +reg [31:0] adc_data = 'd0; +reg [31:0] up_rdata = 'd0; +reg up_ack = 'd0; + +//------------------------------------------------------------------------------ +//----------- Wires Declarations ----------------------------------------------- +//------------------------------------------------------------------------------ +// internal clocks & resets + +wire adc_rst; +wire up_rstn; +wire up_clk; + +// internal signals + +wire adc_start_s; +wire [31:0] speed_data_s; +wire adc_enable_s; +wire adc_status_s; +wire up_sel_s; +wire up_wr_s; +wire [13:0] up_addr_s; +wire [31:0] up_wdata_s; +wire [31:0] up_adc_common_rdata_s; +wire up_adc_common_ack_s; +wire [31:0] pid_s; + +wire [ 2:0] position_s; +wire [ 2:0] bemf_s; +wire [ 2:0] bemf_delayed_s; +wire new_speed_s; +wire [ 2:0] bemf_multiplex_s; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ +// signal name changes + +assign up_clk = s_axi_aclk; +assign up_rstn = s_axi_aresetn; + +assign adc_clk_o = ref_clk; +assign adc_dwr_o = adc_valid; +assign adc_ddata_o = adc_data; + +// monitor signals + +assign adc_mon_valid = new_speed_s; +assign adc_mon_data = { 20'h0, bemf_multiplex_s, bemf_s, bemf_delayed_s, position_s }; + +assign bemf_multiplex_s =(MOTOR_CONTROL_REVISION == 2) ? position_i : bemf_i; +assign position_o =(hall_bemf_i == 2'b01) ? bemf_delayed_s : position_s; +assign new_speed_o = new_speed_s; +assign speed_o = speed_data_s; + +// adc channels - dma interface + +always @(posedge ref_clk) +begin + adc_data <= speed_data_s; + adc_valid <= new_speed_s; +end + +// processor read interface + +always @(negedge up_rstn or posedge up_clk) +begin + if(up_rstn == 0) + begin + up_rdata <= 'd0; + up_ack <= 'd0; + end else + begin + up_rdata <= up_adc_common_rdata_s; + up_ack <= up_adc_common_ack_s; + end +end + +// HALL sensors debouncers + +debouncer +#( .DEBOUNCER_LEN(400)) +position_0( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(position_i[0]), + .sig_o(position_s[0])); + +debouncer +#( .DEBOUNCER_LEN(400)) +position_1( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(position_i[1]), + .sig_o(position_s[1])); + +debouncer +#( .DEBOUNCER_LEN(400)) +position_2( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(position_i[2]), + .sig_o(position_s[2])); + +// BEMF debouncer +debouncer +#( .DEBOUNCER_LEN(400)) +bemf_0( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(bemf_multiplex_s[0]), + .sig_o(bemf_s[0])); + +debouncer +#( .DEBOUNCER_LEN(400)) +bemf_1( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(bemf_multiplex_s[1]), + .sig_o(bemf_s[1])); + +debouncer +#( .DEBOUNCER_LEN(400)) +bemf_2( + .clk_i(ref_clk), + .rst_i(adc_rst), + .sig_i(bemf_multiplex_s[2]), + .sig_o(bemf_s[2])); + +delay_30_degrees delay_30_degrees_i1( + .clk_i(ref_clk), + .rst_i(adc_rst), + .offset_i(32'h0), + .position_i(bemf_s), + .position_o(bemf_delayed_s)); + +speed_detector +#( .AVERAGE_WINDOW(1024), + .LOG_2_AW(10), + .SAMPLE_CLK_DECIM(10000)) +speed_detector_inst( + .clk_i(ref_clk), + .rst_i(adc_rst), + .position_i(position_o), + .new_speed_o(new_speed_s), + .current_speed_o(), + .speed_o(speed_data_s)); + + // common processor control + +up_adc_common i_up_adc_common( + .mmcm_rst(), + .adc_clk(ref_clk), + .adc_rst(adc_rst), + .adc_r1_mode(), + .adc_ddr_edgesel(), + .adc_pin_mode(), + .adc_status(1'b1), + .adc_status_pn_err(1'b0), + .adc_status_pn_oos(1'b0), + .adc_status_or(), + .adc_status_ovf(adc_dovf_i), + .adc_status_unf(adc_dunf_i), + .adc_clk_ratio(32'd1), + .delay_clk(1'b0), + .delay_rst(), + .delay_sel(), + .delay_rwn(), + .delay_addr(), + .delay_wdata(), + .delay_rdata(5'd0), + .delay_ack_t(1'b0), + .delay_locked(1'b0), + .drp_clk(1'd0), + .drp_rst(), + .drp_sel(), + .drp_wr(), + .drp_addr(), + .drp_wdata(), + .drp_rdata(16'd0), + .drp_ready(1'b0), + .drp_locked(1'b0), + .up_usr_chanmax(), + .adc_usr_chanmax(8'd0), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_adc_common_rdata_s), + .up_ack(up_adc_common_ack_s) +); +// up bus interface + +up_axi #( + .PCORE_BASEADDR(C_BASEADDR), + .PCORE_HIGHADDR(C_HIGHADDR)) + i_up_axi( + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_axi_awvalid(s_axi_awvalid), + .up_axi_awaddr(s_axi_awaddr), + .up_axi_awready(s_axi_awready), + .up_axi_wvalid(s_axi_wvalid), + .up_axi_wdata(s_axi_wdata), + .up_axi_wstrb(s_axi_wstrb), + .up_axi_wready(s_axi_wready), + .up_axi_bvalid(s_axi_bvalid), + .up_axi_bresp(s_axi_bresp), + .up_axi_bready(s_axi_bready), + .up_axi_arvalid(s_axi_arvalid), + .up_axi_araddr(s_axi_araddr), + .up_axi_arready(s_axi_arready), + .up_axi_rvalid(s_axi_rvalid), + .up_axi_rresp(s_axi_rresp), + .up_axi_rdata(s_axi_rdata), + .up_axi_rready(s_axi_rready), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata), + .up_ack(up_ack)); + +endmodule + +// *************************************************************************** +// *************************************************************************** diff --git a/library/axi_mc_speed/axi_mc_speed_ip.tcl b/library/axi_mc_speed/axi_mc_speed_ip.tcl new file mode 100755 index 000000000..5c36a2dec --- /dev/null +++ b/library/axi_mc_speed/axi_mc_speed_ip.tcl @@ -0,0 +1,24 @@ +# ip + +source ../scripts/adi_env.tcl +source $ad_hdl_dir/library/scripts/adi_ip.tcl + +adi_ip_create axi_mc_speed +adi_ip_files axi_mc_speed [list \ + "$ad_hdl_dir/library/common/ad_rst.v" \ + "$ad_hdl_dir/library/common/up_axi.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_clock_mon.v" \ + "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_adc_common.v" \ + "debouncer.v" \ + "speed_detector.v" \ + "delay_30_degrees.v" \ + "axi_mc_speed.v" ] + +adi_ip_properties axi_mc_speed + +ipx::save_core [ipx::current_core] + + diff --git a/library/axi_mc_speed/debouncer.v b/library/axi_mc_speed/debouncer.v new file mode 100755 index 000000000..d48869e26 --- /dev/null +++ b/library/axi_mc_speed/debouncer.v @@ -0,0 +1,101 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : debouncer.v +// MODULE NAME : debouncer +// AUTHOR : ACozma +// AUTHOR'S EMAIL : andrei.cozma@analog.com +// ----------------------------------------------------------------------------- +// KEYWORDS : +// ----------------------------------------------------------------------------- +// PURPOSE : Module used for debouncing input signals +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : +// Clock Domains : +// Critical Timing : +// Test Features : +// Asynchronous I/F : +// Instantiations : +// Synthesizable (y/n) : y +// Target Device : +// Other : +// ----------------------------------------------------------------------------- + +`timescale 1ns / 1ps + +module debouncer +//----------- Paramters Declarations ------------------------------------------- +#( + parameter DEBOUNCER_LEN = 4 +) +//----------- Ports Declarations ----------------------------------------------- +( + input clk_i, + input rst_i, + input sig_i, + output reg sig_o +); +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +reg [DEBOUNCER_LEN-1:0] shift_reg; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ +always @(posedge clk_i) +begin + if(rst_i == 1) + begin + shift_reg <= 0; + sig_o <= 0; + end + else + begin + shift_reg <= {shift_reg[DEBOUNCER_LEN-2:0], sig_i}; + if(shift_reg == {DEBOUNCER_LEN{1'b1}}) + begin + sig_o <= 1'b1; + end + else if(shift_reg == {DEBOUNCER_LEN{1'b0}}) + begin + sig_o <= 1'b0; + end + end +end + +endmodule diff --git a/library/axi_mc_speed/delay_30_degrees.v b/library/axi_mc_speed/delay_30_degrees.v new file mode 100755 index 000000000..e07bf6b97 --- /dev/null +++ b/library/axi_mc_speed/delay_30_degrees.v @@ -0,0 +1,228 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : delay_30_degrees.v +// MODULE NAME : delay_30_degrees +// AUTHOR : ACostina +// AUTHOR'S EMAIL : adrian.costina@analog.com +// ----------------------------------------------------------------------------- +// KEYWORDS : BEMF, Analog Devices, Motor Control +// ----------------------------------------------------------------------------- +// PURPOSE : Module used for delaying the BEMF based position signal with 30 +// degrees. +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : +// Clock Domains : +// Critical Timing : +// Test Features : +// Asynchronous I/F : +// Instantiations : +// Synthesizable (y/n) : +// Target Device : +// Other : +// ----------------------------------------------------------------------------- + +`timescale 1ns / 1ps + +module delay_30_degrees +//----------- Paramters Declarations ------------------------------------------- +//----------- Ports Declarations ----------------------------------------------- +( + input clk_i, + input rst_i, + input [31:0] offset_i, // offset register + input [2:0] position_i, // input position + output reg [2:0] position_o // delayed with 30 degrees position +); + +//------------------------------------------------------------------------------ +//----------- Local Parameters ------------------------------------------------- +//------------------------------------------------------------------------------ +localparam MAX_SPEED_COUNT= 32'h1000000; +//State machine +localparam RESET = 6'b000001; +localparam INIT = 6'b000010; +localparam CHANGE_POSITION = 6'b000100; +localparam DELAY_30_DEGREES = 6'b001000; +localparam APPLY_CHANGE = 6'b010000; +localparam IDLE = 6'b100000; +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +reg [5:0] state; // current state +reg [5:0] next_state; // next state +reg [2:0] position_old; // saves the latest position +reg [31:0] speed_count; // counts the current speed of rotation +reg [31:0] speed_divider; // divides the speed of rotation by 2, correspoding to 30 degrees +reg [31:0] delay_count; // Applied the delay to the input signal + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ + +// State transitions +always @* +begin + next_state = state; + + case (state) + RESET: + begin + next_state = INIT; + end + INIT: + begin + if (position_i != position_old) + begin + next_state = CHANGE_POSITION; + end + end + CHANGE_POSITION: + begin + next_state = DELAY_30_DEGREES; + end + DELAY_30_DEGREES: + begin + if( delay_count > speed_divider) + begin + next_state = APPLY_CHANGE; + end + end + APPLY_CHANGE: + begin + next_state = IDLE; + end + IDLE: + begin + if (position_i != position_old) + begin + next_state = CHANGE_POSITION; + end + end + default: + begin + next_state = RESET; + end + endcase +end + +always @(posedge clk_i) +begin + case(state) + RESET: + begin + speed_count <= 0; + speed_divider <= 0; + position_o <= 3'b1; + end + INIT: + begin + if (speed_count < MAX_SPEED_COUNT) + begin + speed_count <= speed_count + 1; + end + end + CHANGE_POSITION: + begin + speed_divider <= speed_count >> 1 ; + speed_count <= 0; + delay_count <= 0; + end + DELAY_30_DEGREES: + begin + if (speed_count < MAX_SPEED_COUNT) + begin + speed_count <= speed_count + 1; + end + delay_count <= delay_count + 1; + end + APPLY_CHANGE: + begin + if (position_i == 3'b101) + begin + position_o <= 100; + end + if (position_i == 3'b100) + begin + position_o <= 110; + end + if (position_i == 3'b110) + begin + position_o <= 010; + end + if (position_i == 3'b010) + begin + position_o <= 011; + end + if (position_i == 3'b011) + begin + position_o <= 001; + end + if (position_i == 3'b001) + begin + position_o <= 101; + end + position_old <= position_i; + if (speed_count < MAX_SPEED_COUNT) + begin + speed_count <= speed_count + 1; + end + end + IDLE: + begin + if (speed_count < MAX_SPEED_COUNT) + begin + speed_count <= speed_count + 1; + end + end + endcase +end + +always @ (posedge clk_i) +begin + if(rst_i == 1'b1) + begin + state <= RESET; + end + else + begin + state <= next_state; + end +end + +endmodule + diff --git a/library/axi_mc_speed/speed_detector.v b/library/axi_mc_speed/speed_detector.v new file mode 100755 index 000000000..3e4ca8836 --- /dev/null +++ b/library/axi_mc_speed/speed_detector.v @@ -0,0 +1,262 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : speed_detector.v +// MODULE NAME : speed_detector +// AUTHOR : ACostina +// AUTHOR'S EMAIL : adrian.costina@analog.com +// ----------------------------------------------------------------------------- +// KEYWORDS : Analog Devices, Motor Control, Speed detector +// ----------------------------------------------------------------------------- +// PURPOSE : Detects the speed of rotation of a motor +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : +// Clock Domains : +// Critical Timing : +// Test Features : +// Asynchronous I/F : +// Instantiations : +// Synthesizable (y/n) : +// Target Device : +// Other : +// ----------------------------------------------------------------------------- + +`timescale 1ns / 1ps + +module speed_detector +//----------- Paramters Declarations ------------------------------------------- +#( + parameter AVERAGE_WINDOW = 32, // Averages data on the latest samples + parameter LOG_2_AW = 5, // Average window is 2 ^ LOG_2_AW + parameter SAMPLE_CLK_DECIM = 10000 +) +//----------- Ports Declarations ----------------------------------------------- +( + input clk_i, + input rst_i, + input [ 2:0] position_i, // position as determined by the sensors + output reg new_speed_o, // signals a new speed has been computed + output reg [31:0] current_speed_o, // data bus with the current speed + output reg [31:0] speed_o // data bus with the mediated speed +); + +//------------------------------------------------------------------------------ +//----------- Local Parameters ------------------------------------------------- +//------------------------------------------------------------------------------ + +localparam AW = LOG_2_AW - 1; + +localparam MAX_SPEED_CNT= 32'h10000; + +//State machine +localparam RESET = 8'b00000001; +localparam INIT = 8'b00000010; +localparam CHANGE_POSITION = 8'b00000100; +localparam ADD_COUNTER = 8'b00001000; +localparam SUBSTRACT_MEM = 8'b00010000; +localparam UPDATE_MEM = 8'b00100000; +localparam IDLE = 8'b10000000; + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ + +reg [2:0] position_old; +reg [63:0] avg_register; +reg [63:0] avg_register_stable; +reg [31:0] cnt_period; +reg [31:0] decimation; // register used to divide by ten the speed +reg [31:0] cnt_period_old; +reg [31:0] fifo [0:((2**LOG_2_AW)-1)]; // 32 bit wide RAM +reg [AW:0] write_addr; +reg [AW:0] read_addr; + +reg [31:0] sample_clk_div; + +reg [7:0] state; +reg [7:0] next_state; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ + +// Count ticks per position +always @(posedge clk_i) +begin + if(rst_i == 1'b1) + begin + cnt_period <= 32'b0; + decimation <= 32'b0; + end + else + begin + if(state != CHANGE_POSITION) + begin + if(decimation == 9) + begin + cnt_period <= cnt_period + 1; + decimation <= 32'b0; + end + else + begin + decimation <= decimation + 1; + end + end + else + begin + decimation <= 32'b0; + cnt_period <= 32'b0; + cnt_period_old <= cnt_period; + end + end +end + +always @(posedge clk_i) +begin + if(rst_i == 1'b1) + begin + state <= RESET; + end + else + begin + state <= next_state; + end +end + +always @* +begin + next_state = state; + case(state) + RESET: + begin + next_state = INIT; + end + INIT: + begin + if(position_i != position_old) + begin + next_state = CHANGE_POSITION; + end + end + CHANGE_POSITION: + begin + next_state = ADD_COUNTER; + end + ADD_COUNTER: + begin + next_state = SUBSTRACT_MEM; + end + SUBSTRACT_MEM: + begin + next_state = UPDATE_MEM; + end + UPDATE_MEM: + begin + next_state = IDLE; + end + IDLE: + begin + if(position_i != position_old) + begin + next_state = CHANGE_POSITION; + end + end + endcase +end + +always @(posedge clk_i) +begin + case(state) + RESET: + begin + avg_register <= MAX_SPEED_CNT; + fifo[write_addr] <= MAX_SPEED_CNT; + end + INIT: + begin + end + CHANGE_POSITION: + begin + position_old <= position_i; + end + ADD_COUNTER: + begin + avg_register <= avg_register + cnt_period_old ; + end + SUBSTRACT_MEM: + begin + avg_register <= avg_register - fifo[write_addr]; + end + UPDATE_MEM: + begin + fifo[write_addr] <= cnt_period_old; + write_addr <= write_addr + 1; + avg_register_stable <= avg_register; + end + IDLE: + begin + end + endcase +end + +// Stable sampling frequency of the motor speed +always @(posedge clk_i) +begin + if(rst_i == 1'b1) + begin + sample_clk_div <= 0; + speed_o <= 0; + new_speed_o <= 0; + end + else + begin + if(sample_clk_div == SAMPLE_CLK_DECIM ) + begin + sample_clk_div <= 0; + speed_o <=(avg_register_stable >> LOG_2_AW); + new_speed_o <= 1; + current_speed_o <= cnt_period_old; + end + else + begin + sample_clk_div <= sample_clk_div + 1; + new_speed_o <= 0; + end + end +end + +endmodule diff --git a/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl.v b/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl.v new file mode 100755 index 000000000..be5b5e7d3 --- /dev/null +++ b/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl.v @@ -0,0 +1,535 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module axi_mc_torque_ctrl +#( + parameter C_S_AXI_MIN_SIZE = 32'hffff, + parameter C_BASEADDR = 32'hffffffff, + parameter C_HIGHADDR = 32'h00000000 +) +( + input ref_clk, // 100 MHz + +// physical interface + + input fmc_m1_fault_i, + output fmc_m1_en_o, + output pwm_ah_o, + output pwm_al_o, + output pwm_bh_o, + output pwm_bl_o, + output pwm_ch_o, + output pwm_cl_o, + output [7:0] gpo_o, + +// interconnection with other modules + + output [1:0] sensors_o, + input [2:0] position_i, + input new_speed_i, + input [31:0] speed_i, + input [15:0] it_i, + input i_ready_i, + +// dma interface + + output adc_clk_o, + output adc_dwr_o, + output [31:0] adc_ddata_o, + input adc_dovf_i, + input adc_dunf_i, + +// axi interface + + input s_axi_aclk, + input s_axi_aresetn, + input s_axi_awvalid, + input [31:0] s_axi_awaddr, + output s_axi_awready, + input s_axi_wvalid, + input [31:0] s_axi_wdata, + input [3:0] s_axi_wstrb, + output s_axi_wready, + output s_axi_bvalid, + output [1:0] s_axi_bresp, + input s_axi_bready, + input s_axi_arvalid, + input [31:0] s_axi_araddr, + output s_axi_arready, + output s_axi_rvalid, + output [1:0] s_axi_rresp, + output [31:0] s_axi_rdata, + input s_axi_rready, + +// debug signals + + output adc_mon_valid, + output [31:0] adc_mon_data +); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +// internal registers + +reg adc_valid = 'd0; +reg [31:0] adc_data = 'd0; +reg [31:0] up_rdata = 'd0; +reg up_ack = 'd0; +reg [15:0] tmr_dv_reg = 'd0; +reg datavalid_reg = 'd0; +reg [15:0] tmr_ctrl_reg = 'd0; +reg pwm_gen_clk = 'd0; +reg ctrl_gen_clk = 'd0; +reg one_chan_reg = 'd0; + +//------------------------------------------------------------------------------ +//----------- Wires Declarations ----------------------------------------------- +//------------------------------------------------------------------------------ +// internal clocks & resets + +wire adc_rst; +wire up_rstn; +wire up_clk; + +// internal signals + +wire up_sel_s; +wire up_wr_s; +wire [13:0] up_addr_s; +wire [31:0] up_wdata_s; +wire [31:0] up_adc_common_rdata_s; +wire [31:0] up_control_rdata_s; +wire [31:0] rdata_ref_speed_s; +wire [31:0] rdata_actual_speed_s; +wire up_adc_common_ack_s; +wire up_control_ack_s; +wire ack_ref_speed_s; +wire ack_actual_speed_s; +wire run_s; +wire star_delta_s; +wire oloop_matlab_s; // 0 - open loop, 1 matlab controlls pwm +wire [10:0] pwm_open_s; +wire [31:0] pwm_controller_s; +wire [10:0] pwm_s; +wire [31:0] err_s; +wire [31:0] pid_s; +wire [2:0] position_s; +wire [31:0] ki_s; +wire [31:0] kp_s; +wire [31:0] ki1_s; +wire [31:0] kp1_s; +wire [31:0] kd1_s; +wire [31:0] reference_speed_s; +wire [31:0] speed_rpm_s; // speed in RPM from the controller + +wire enable_ref_speed_s; +wire enable_actual_speed_s; + +wire [10:0] gpo_s; +wire [31:0] it_max_s; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ + +// signal name changes + +assign up_clk = s_axi_aclk; +assign up_rstn = s_axi_aresetn; + +assign adc_clk_o = ref_clk; +assign adc_dwr_o = adc_valid; +assign adc_ddata_o = adc_data; + +// monitor signals + +assign adc_mon_valid = i_ready_i; +assign adc_mon_data = {25'h0 ,fmc_m1_en_o, pwm_ah_o, pwm_al_o, pwm_bh_o, pwm_bl_o, pwm_ch_o, pwm_cl_o}; + +// multiple instances synchronization + +assign pid_s = 32'd0; + +assign fmc_m1_en_o = run_s; +assign pwm_s = oloop_matlab_s ? pwm_controller_s[10:0] : pwm_open_s ; +assign position_s = position_i; + +// assign gpo + + assign gpo_o[7:4] = gpo_s[10:7]; + assign gpo_o[3:0] = gpo_s[3:0]; + +// clock generation for controller + +always @(posedge ref_clk) +begin + pwm_gen_clk <= ~pwm_gen_clk; // generate 50 MHz clk + + if(tmr_ctrl_reg == 16'd4) // generate 10 MHz clk + begin + tmr_ctrl_reg <= 16'd0; + ctrl_gen_clk <= ~ctrl_gen_clk; + end + else + begin + tmr_ctrl_reg <= tmr_ctrl_reg + 16'd1; + end +end + +// CE generation for controller + +always @(posedge ref_clk) +begin + if(tmr_dv_reg == 16'd999) + begin + datavalid_reg <= 1'b1; + tmr_dv_reg <= 16'd0; + end + else + begin + datavalid_reg <= 1'b0; + tmr_dv_reg <= tmr_dv_reg + 16'd1; + end +end + +// adc channels - dma interface + +always @(posedge ref_clk) +begin + if(datavalid_reg == 1) + begin + case({enable_actual_speed_s , enable_ref_speed_s}) + 2'b11: + begin + adc_data <= {speed_rpm_s[29:14],reference_speed_s[15:0]}; + adc_valid <= 1'b1; + end + 2'b01: + begin + adc_data <= { adc_data[15:0], reference_speed_s[15:0]}; + one_chan_reg <= ~one_chan_reg; + if(one_chan_reg == 1'b1) + begin + adc_valid <= 1'b1; + end + else + begin + adc_valid <= 1'b0; + end + end + 2'b10: + begin + adc_data <= { adc_data[15:0], speed_rpm_s[29:14]}; + one_chan_reg <= ~one_chan_reg; + if(one_chan_reg == 1'b1) + begin + adc_valid <= 1'b1; + end + else + begin + adc_valid <= 1'b0; + end + end + 2'b00: + begin + adc_data <= 32'hdeadbeef; + adc_valid <= 1'b1; + end + endcase + end + else + begin + adc_data <= adc_data; + adc_valid <= 1'b0; + end +end + +// processor read interface + +always @(negedge up_rstn or posedge up_clk) begin + if(up_rstn == 0) begin + up_rdata <= 'd0; + up_ack <= 'd0; + end else begin + up_rdata <= up_control_rdata_s | up_adc_common_rdata_s | rdata_ref_speed_s | rdata_actual_speed_s ; + up_ack <= up_control_ack_s | up_adc_common_ack_s | ack_ref_speed_s | ack_actual_speed_s; + end +end + +// main (device interface) + +motor_driver +#( .PWM_BITS(11)) +motor_driver_inst( + .clk_i(ref_clk), + .pwm_clk_i(pwm_gen_clk), + .rst_n_i(up_rstn) , + .run_i(run_s), + .star_delta_i(1'b0), + //.dir_i(1'b1), + .position_i(position_s), + .pwm_duty_i(pwm_s), + .AH_o(pwm_ah_o), + .BH_o(pwm_bh_o), + .CH_o(pwm_ch_o), + .AL_o(pwm_al_o), + .BL_o(pwm_bl_o), + .CL_o(pwm_cl_o)); + +control_registers control_reg_inst( + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_control_rdata_s), + .up_ack(up_control_ack_s), + +//control pins + + .run_o(run_s), + .break_o(), + .star_delta_o(star_delta_s), + .sensors_o(sensors_o), + .kp_o(kp_s), + .ki_o(ki_s), + .kp1_o(kp1_s), + .ki1_o(ki1_s), + .kd1_o(kd1_s), + .gpo_o(gpo_s), + .reference_speed_o(reference_speed_s), + .oloop_matlab_o(oloop_matlab_s), + .err_i(err_s), + .calibrate_adcs_o(), + .pwm_open_o( pwm_open_s)); + +bldc_sim_fpga_cw torque_controller( + .ce(1'b1), + .clk(ctrl_gen_clk), + .clk_x0(ctrl_gen_clk), + .it({16'h0,it_i}), + .kd1(kd1_s), + .ki(ki_s), + .ki1(ki1_s), + .kp(kp_s), + .kp1(kp1_s), + .motor_speed(speed_i), + .new_current(i_ready_i), + .new_speed(new_speed_i), + .ref_speed(reference_speed_s), + .reset(!up_rstn), + .reset_acc(!run_s), + .err(err_s), + .it_max(it_max_s), + .pwm(pwm_controller_s), + .speed(speed_rpm_s)); + +up_adc_channel #(.PCORE_ADC_CHID(0)) adc_channel_ref_speed( + .adc_clk(ref_clk), + .adc_rst(adc_rst), + .adc_enable(enable_ref_speed_s), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(1'b0), + .up_adc_pn_oos(1'b0), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(rdata_ref_speed_s), + .up_ack(ack_ref_speed_s)); + + +up_adc_channel #(.PCORE_ADC_CHID(1)) adc_channel_actual_speed( + .adc_clk(ref_clk), + .adc_rst(adc_rst), + .adc_enable(enable_actual_speed_s), + .adc_pn_sel(), + .adc_iqcor_enb(), + .adc_dcfilt_enb(), + .adc_dfmt_se(), + .adc_dfmt_type(), + .adc_dfmt_enable(), + .adc_pn_type(), + .adc_dcfilt_offset(), + .adc_dcfilt_coeff(), + .adc_iqcor_coeff_1(), + .adc_iqcor_coeff_2(), + .adc_pn_err(1'b0), + .adc_pn_oos(1'b0), + .adc_or(1'b0), + .up_adc_pn_err(), + .up_adc_pn_oos(), + .up_adc_or(), + .up_usr_datatype_be(), + .up_usr_datatype_signed(), + .up_usr_datatype_shift(), + .up_usr_datatype_total_bits(), + .up_usr_datatype_bits(), + .up_usr_decimation_m(), + .up_usr_decimation_n(), + .adc_usr_datatype_be(1'b0), + .adc_usr_datatype_signed(1'b1), + .adc_usr_datatype_shift(8'd0), + .adc_usr_datatype_total_bits(8'd16), + .adc_usr_datatype_bits(8'd16), + .adc_usr_decimation_m(16'd1), + .adc_usr_decimation_n(16'd1), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(rdata_actual_speed_s), + .up_ack(ack_actual_speed_s)); + + +// common processor control +up_adc_common i_up_adc_common( + .mmcm_rst(), + .adc_clk(ref_clk), + .adc_rst(adc_rst), + .adc_r1_mode(), + .adc_ddr_edgesel(), + .adc_pin_mode(), + .adc_status(1'b1), + .adc_status_pn_err(), + .adc_status_pn_oos(), + .adc_status_or(), + .adc_status_ovf(adc_dovf_i), + .adc_status_unf(adc_dunf_i), + .adc_clk_ratio(32'd1), + .delay_clk(1'b0), + .delay_rst(), + .delay_sel(), + .delay_rwn(), + .delay_addr(), + .delay_wdata(), + .delay_rdata(5'd0), + .delay_ack_t(1'b0), + .delay_locked(1'b0), + .drp_clk(1'd0), + .drp_rst(), + .drp_sel(), + .drp_wr(), + .drp_addr(), + .drp_wdata(), + .drp_rdata(16'd0), + .drp_ready(1'b0), + .drp_locked(1'b0), + .up_usr_chanmax(), + .adc_usr_chanmax(8'd0), + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_adc_common_rdata_s), + .up_ack(up_adc_common_ack_s)); + +// up bus interface + +up_axi #( + .PCORE_BASEADDR(C_BASEADDR), + .PCORE_HIGHADDR(C_HIGHADDR)) +i_up_axi( + .up_rstn(up_rstn), + .up_clk(up_clk), + .up_axi_awvalid(s_axi_awvalid), + .up_axi_awaddr(s_axi_awaddr), + .up_axi_awready(s_axi_awready), + .up_axi_wvalid(s_axi_wvalid), + .up_axi_wdata(s_axi_wdata), + .up_axi_wstrb(s_axi_wstrb), + .up_axi_wready(s_axi_wready), + .up_axi_bvalid(s_axi_bvalid), + .up_axi_bresp(s_axi_bresp), + .up_axi_bready(s_axi_bready), + .up_axi_arvalid(s_axi_arvalid), + .up_axi_araddr(s_axi_araddr), + .up_axi_arready(s_axi_arready), + .up_axi_rvalid(s_axi_rvalid), + .up_axi_rresp(s_axi_rresp), + .up_axi_rdata(s_axi_rdata), + .up_axi_rready(s_axi_rready), + .up_sel(up_sel_s), + .up_wr(up_wr_s), + .up_addr(up_addr_s), + .up_wdata(up_wdata_s), + .up_rdata(up_rdata), + .up_ack(up_ack)); + +endmodule + +// *************************************************************************** +// *************************************************************************** + diff --git a/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl_ip.tcl b/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl_ip.tcl new file mode 100755 index 000000000..54359ce49 --- /dev/null +++ b/library/axi_mc_torque_ctrl/axi_mc_torque_ctrl_ip.tcl @@ -0,0 +1,29 @@ +# ip + +source ../scripts/adi_env.tcl +source $ad_hdl_dir/library/scripts/adi_ip.tcl + +adi_ip_create axi_mc_torque_ctrl +adi_ip_files axi_mc_torque_ctrl [list \ + "$ad_hdl_dir/library/common/up_axi.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ + "$ad_hdl_dir/library/common/up_xfer_cntrl.v" \ + "$ad_hdl_dir/library/common/up_xfer_status.v" \ + "$ad_hdl_dir/library/common/up_clock_mon.v" \ + "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ + "$ad_hdl_dir/library/common/up_delay_cntrl.v" \ + "$ad_hdl_dir/library/common/up_adc_common.v" \ + "$ad_hdl_dir/library/common/up_adc_channel.v" \ + "bldc_sim_fpga_cw.ngc" \ + "bldc_sim_fpga_cw.xdc" \ + "motor_driver.v" \ + "control_registers.v" \ + "bldc_sim_fpga_cw_bb.v" \ + "axi_mc_torque_ctrl.v" ] + +adi_ip_properties axi_mc_torque_ctrl + +ipx::save_core [ipx::current_core] + + diff --git a/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.ngc b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.ngc new file mode 100755 index 000000000..181bb3a82 --- /dev/null +++ b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$34;e~753-Xnzd}foo8#5+421).97 ?l;123456789:;<<>40123456789:;<=>>8:23456788;;7=>?0123556799:;=55?0123454?39:;<4>?9028456689::<=>>113255=789;;==?>1032547682:;8=:?51731133=<20<=:?457755=78O>;<=>I4GDE0C2?39;;<=>?1028446788::<=>?002355=799;;==??11335DD682::=<>?00CAFGGFIK;;7=?;5@@FBCCEI<>:<55?407BAG@339>>955?4@GEF07682:3>LO=81C1<53C?O>0<5OJ1:3;?4629KKMN<>410331476JKHJJOLM4:325G><97?2@D[YY4MCHL+52<7!8?0=54@UURVP?DDG\^#=:4?)0916>47991997GAPTV9@-4329#:<644?)018755/6=29;6B[[PTV9EFIR\!826='>0:117610;>IOON67C932?64=AGZ^X7g{(2394,753:81EC^ZT;ig5-5629#:=6==:HLSQQ2:11>LHW]]0`e<&<1;2*54=4:3CE\XZ5kq*05?6.9;18>7GAPTV9ou7/;80;%<5IORVP?tbnVy{ihj'3083-45<;;0DYY^ZT;gqv-5629#::6==:NWWTPR=a}Ufmu&<1;2*56=4:3E^X][[:qun,67=8 ;>7><5OTVSQQ?50(34?6441F60527L8=J:2:6455=303CE\XZ5C)64>5/6;2>36D@_UU8SGLH/<>0;%087GAPTV9EISJGMO#?7>&1797>JSSX\^1OL\]YNWW,6<7!;1?J95:54627>3=AGZ^X7GAMNFF,1<7!8:09O?I4@2F@4?2K92?=68>0:452301>?<=:;896@682<01<2<25;??;7A@23E1K?IH:N8L60282FE1K?I=:N8L6BA55>1?3>:<;=>800183?OIX\^1C]C@DD*5>5/?3>LMJKHIF0283C@ANOLMJKHIFGDE`>1}8;:h`j:74,32?=><09:;<=>?119;456789:;<=>?012;?=>??>2<;<>489:;<=>?81:;<5>7119;E5>?I1K;4=67A1:1?=C331O34<564:;34<><1:92:NM92:;50>?1>0>0M%>&4:C+5,3G/9;#>7L&>3(78E-73!<1J$<;&5:C+53/23H":;$;4A)3;-0=F 83"86O'2(78E-47!<1J$??&5:C+67/23H"9?$;4A)07-0=F ;?"96O'27+6?D.5? ?0M%<7)49B,7?.?2K#>44?)59B,6/33H"?%95N(4+7?D.1!=1J$:';;@*;-1=F 0#97L>=;@31?D433H83?IJIHMLONA9:;6>G><2KJM564A@CBEDG?991JMLOJF@GBEDG58H8:<6ONACCBEGGFIK:;<=64ABCPGWC@?2KHEC&?)69BGLH/9 20MNGA(02*<>GDAG":=$64ABKM,44.02KHEC&>3(:8EFOI 8>"46OLIO*21,>GDAG":5$94ABKM,7/?3HIBB%>'7;@AJJ-43!11JOD@'24+;?DENF!8=%55NCHL+62/?3HIBB%<7)99BGLH/:0#j7LMFN)0:>5/03HIBB%=&7:C@MK.3!>1JOD@'5(58EFOI ?#<7LMFN)5*3>GDAG"3%:5NCHL+=,>&8:C@KPR/9 30MNAZT)33-<=FKF__$3HIDYY&>7(;8EFIR\!;3%45NCNWW,4?.02KHCXZ'2(;8EFIR\!8;%45NCNWW,77.12KHCXZ'23+:?DEH]]"9?$74ABMVP-43!01JOB[[(37*=>GDG\^#>;'6;@ALQQ.5? 30MNAZT)0;-<=FKF__$?7&b:C@KPR/:00;%55NCNWW,6/?3HIDYY&;)99BGJSS <#37LM@UU*5-==FKF__$:'7;@ALQQ.?!11JOB[[(8+6?DBUMNk0MA[BOEG+4,g$o4AMWNKAC/; i0MA[BOEG+7?6.i2KGY@AKESGD0>GUMN;;7L[[OSGPAQYWI]NNX:5N_HLSQQ2';;C*7-1=E <#?7O&9)59A,2/33K"3%95M(8+;?G4EJ;8I>?5M499A0GDE37OMNSBPFC2=EK@D#<$94BBKM,4/?3KIBB%??)99AGLH/98#37OMFN)31-==EK@D#=>'7;CAJJ-73!11IOD@'14+;?GENF!;=%55MCHL+52/f3KIBB%?8:1+4?GENF!8";6LLIO*0-2=EK@D#8$94BBKM,0/03KIBB%8&7:@@MK.0!>1IOD@'8(58FFOI 0#37OM@UU*3-==EKF__$<'6;CALQQ.68 30NNAZT)32-<=EKF__$<<&9:@@KPR/9:#27OM@UU*20,?7;2*<>DDG\^#>$64BBMVP-5.02HHCXZ'4(:8FFIR\!?"46LLOTV+2,>E/9:#>7N&>4(78G-72!<1H$<8&5:A+52/23J":4$;4C)3:-1=D ;#>7N&=0(78G-46!<1H$?<&5:A+66/23J"98$;4C)06-0=D ;<"96M'26+6?F.50 ?0O%<6)59@,6/23J"8<$;4C)12-0=D :8"96M'32+6?F.4< ?0O%=:)49@,60.=2I#?:':;B*0<,3)49@,14.=2I#8>':;B*70,3>%85L(54*1>E/<>#<7N&;7;2*0>E/= >0O%8&4:A+3,23JOJ@XC@DD68G@D6<2INN?=4CDA:?FCDI[XREC84CDAWVI5:;BNH=53EKCM\THDXFDD78GIMAP11H@FHW192:?FIJE@^_II?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II:4CSGD5>B33M";%95K(0+6?A.68 ?0H%?>)49G,44.=2N#=>':;E*20,3%85K(04*1>B/9>#>7I&>8(78@-7>!=1O$?':;E*14,3B/::#>7I&=4(58@-4329#?7I&<)59G,1/33M">%95K(7+7?A.0!=1O$5';;E*:-==C:MNO>?<;;E:;<46BUMN=0H^^;8D3b?Aoanlxnbnk>0:G1A7C5<1>3I?:74908A1773L>;<9KNAC3614DAN=1N80:G75GC3M=O?=O?M1C08A=4;<95JF5724>@38KL?J9>MF52A4G2:<=>>0:DEACDEIK?>88?>00:8BC@A:;H9==5IFGDEBC@6J9:MJ0:DEBC@ANO89>?<=2@33?C@ANOLMJK983232446O6:2C9>6G<2:K76>O212CEEY][AUG:?LHJGMO#<$74IOOL@@.6!01BB@AKE)0*=>OIEFNN$>'6;HLNKAC/< h0ECC@DD*7>5/>3@DFCIK]EF18MKP53EC=7AANDDF5?IIDCLN<7AAHIBCO0>JR\8>0@XZ=4:NVP620A_KH8:OVHQOWDPk0AXB[SHEMMKd>5A2518J7043G83?6@<029M77587C=92:L76>H1:2D3;6@JTVMQO2=IGDOYKH74NN]SEQBB\11ECR\JSDV2?J3$74OQOL@@.4!01D\@AKE)6*=>IWEFNN$8'6;NRNKAC/> h0C]C@DD*5>5/>3FZFCIK]EF:8KSCUNEE\=6^;;Q*3-1=W 8#>7]&>0(78T-76!<1[$<<&5:R+56/23Y":8$;4P)36-0=W 8<"96^'16+6?U.60 ?0\%?6)59S,7/23Y"9<$;4P)02-0=W ;8"96^'22+6?U.5< ?0\%<:)49S,70.=2Z#>:':;Q*1<,3)49S,64.=2Z#?>':;Q*00,3%85_(24*1>V/;>#>7]&<8(78T-5>!=1[$9':;Q*74,3:%85_(50*1>V/<:#>7]&;4(78T-22!<1[$98&5:R+02/03Y"?;7>&4:R+1,2.<2Z#5$94P/6:`ZJ33YKYX:5_AUVFVKc'7;QAJJ-73!11[OD@'14+;?UENF!;=%55_CHL+52/?3YIBB%?7)99SGLH/90#<7]MFN)0*<>VDAG"9<$64PBKM,77.02ZHEC&=2(:8TFOI ;9"46^LIO*10,> 20\NGA(35*<>VDAG"94$64PBKM,7?.?2ZHEC&<)99SGLH/;9#37]MFN)12-==WK@D#??'7;QAJJ-54!11[OD@'35+;?UENF!9>%55_CHL+73/?3YIBB%=8)99SGLH/;1#37]MFN)1:-2=WK@D#8$64PBKM,16.02ZHEC&;1(:8TFOI =8"46^LIO*77,>VDAG"?;$o4PBKM,11=8 =0\NGA(4+4?UENF!<";6^LIO*4-2=WK@D#4$94PBKM,)89SGJSS 88"56^LOTV+56/>3YIDYY&>4(;8TFIR\!;>%45_CNWW,40.12ZHCXZ'16+:?UEH]]":4$74PBMVP-7>!11[OB[[(3+:?UEH]]"9<$74PBMVP-46!01[OB[[(30*=>VDG\^#>>'6;QALQQ.5< 30\NAZT)06-<=WKF__$?8&9:R@KPR/:>#27]M@UU*1<,?)89SGJSS :8"56^LOTV+76/>3YIDYY&<4(;8TFIR\!9>%45_CNWW,60.12ZHCXZ'36+:?UEH]]"84$74PBMVP-5>!11[OB[[(5+:?UEH]]"?<$74PBMVP-26!01[OB[[(50*=>VDG\^#8>'6;QALQQ.3< 30\NAZT)66-<=WKF__$98&9:R@KPR/<>#i7]M@UU*73?6.02ZHCXZ'5(:8TFIR\!<"46^LOTV+3,>? qQ#LLAO(<:%<=>?01"&7a=WM[CDH-,llj]15a2bi0n),<>?012-456789:;<-~\ IKDL%37&9:;<=>?01"&e>VNFVH^_DJWb:RJJZDR[GKFI45_K^JOQQHJ<2ZYIJ84PRFLJ@7TT\Hn0^^ZNLMABVW_NFh1Y_YOCULMGA1=U[]H?7_][C69QWQESZE>0^^ZK9:PPPLHJGMO?7_][M59QWQV63Z20_HBPM@QHf>UBDVZJXYK]N038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI;5\RM35A3=T\H^^_55[ESOJJDJ?3]CFI^^JC69WJHYIM]l0X^Qllj]15a2bi0n?7YW_E89VJACUNEE\o6[\ES]UMVOEDL30Y^KPDQMQP==R[LUFYAZ>2:WPAZVF\]OYBRJJTDAW<>STMVYBAI=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBN:5WSU]DJA1?8f:]\[]JIEVUT?<=P_^`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWkQ8=PQm[23^[hsW:UDYY?<16d8[ZY_DGGTSR=>4^]\fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_cY05XYj}qU8SB[[2634b>YXWQFEARQP307\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSoU<1\]a_67ZWdsS>Q@UU0752`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQm[23^[g]49TUfyuQ<_NWW6070n2UTSUBAM^]\741XWVhghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0SRQWLOO\[Z560VUTnajl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]a_67ZWkQ8=PQbuy]0[JSS:;;?R_lw{[6YH]]8:=:h4_^][HKKXWV99Ve~x=?8f:]\[]JIEVUT???P_^`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWkQ8=PQm[23^[hsW:UDYY?616d8[ZY_DGGTSR==2^]\fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_cY05XYj}qU8SB[[1934b>YXWQFEARQP331\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSoU<1\]a_67ZWdsS>Q@UU3452`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQm[23^[g]49TUfyuQ<_NWW5370n2UTSUBAM^]\773XWVhghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0SRQWLOO\[Z55>VUTnajl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]a_67ZWkQ8=PQbuy]0[JSS9=;?R_lw{[6YH]];9=:h4_^][HKKXWV994RQPbmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWkQ8=PQm[23^[hsW:UDYY??16g8[ZY_DGGTSR=<0^]\fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_cY05XYj}qU8SB[[905f?ZYXPEDFSRQ<30]\[gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT934a>YXWQFEARQP320\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSoU<1\]a_67ZWdsS>Q@UU523`=XWVRGB@QP_210[ZYedmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV552cPQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQm[23^[g]49TUfyuQ<_NWW141b3VUTTA@B_^]070YXWkfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPbZ12YZd\;8WTaxvP3^MVP170m2UTSUBAM^]\760XWVhghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zd\;8WTnV=>]^ov|Z5XG\^8<<9i;^]\\IHJWVU88=QP_cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XjR9:QRlT30_\ip~X;VE^X?7>7g9\[Z^KFDUTS>:>_^]ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP??R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT333<6=XWVRGB@QP_260[ZYedmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3203Zi69?287RQPXMLN[ZY4<=UTSobkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y60:?Tc::_^]ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\743VUTTA@B_^]003YXWkfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]2051Xg8;;4?5P_^ZOJHYXW:> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W8;3=Ra>8918[ZY_DGGTSR=;8^]\fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR=?80]l57>?;2UTSUBAM^]\71?XWVhghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x58Q`130;7>YXWQFEARQP343\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2534[j758190SRQWLOO\[Z52:VUTnajl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z45;8Ud=<671:]\[]JIEVUT?8=P_^`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT599Po04;7>YXWQFEARQP346\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2804[j75>:30SRQWLOO\[Z52=VUTnajl_rkn[cv`iVr;#`m`dd-Nip~XrV`decQ=_03256?=>74_^][HKKXWV9>4RQPbmf`[vojWozlmRv?/lal``)Je|rTvRd`io]1[4709:20SRQWLOO\[Z521VUTnajl_rkn[cv`iVr;#`m`dd-Nip~XrV`decQ=_03010=XWVRGB@QP_243[ZYedmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgq4Xg|~:$<950(]{kw.6? ;:9n5P_^ZOJHYXW:<:SRQmlea\wlkXnymjSu> sqgf`ZcqieUicu!|pdgg[fhsWzclbhjT30_\CKBX;1UdShzam];[j.49 _ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWf8==:h4_^][HKKXWV9=8RQPbmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x;?;e:]\[]JIEVUT?;;P_^`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~tR]nttpbpliiWf=<;>4d9\[Z^KFDUTS>89_^]ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyuQ\auwqeqohfVe~x14f8[ZY_DGGTSR=98^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<714f8[ZY_DGGTSR=99^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<;14f8[ZY_DGGTSR=80^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy?814f8[ZY_DGGTSR=81^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy?:14f8[ZY_DGGTSR=82^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy?<14f8[ZY_DGGTSR=83^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy?>14f8[ZY_DGGTSR=84^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy??14a8[ZY_DGGTSR=85^]\fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy6>5b9\[Z^KFDUTS>99_^]ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtX}Vyjx;?:c:]\[]JIEVUT?:9P_^`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~tRJfsbpfp`YSadoTEczjgscwkwYrWzk8<;l;^]\\IHJWVU8;5QP_cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZsX{h~9=8m4_^][HKKXWV9<5RQPbmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|:;:9n5P_^ZOJHYXW:2;SRQmlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVNbn|jtd]WmhcXAg~nko{os]v[vgs:1;>o6QP_YNMIZYX;1;TSRlcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^w\wdr5<8?h7RQPXMLN[ZY40;UTSobkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Nip~XL`yh~hzj_UknaZOi|lmymya}_t]peq4696=j1TSRVCNL]\[6>3WVUi`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lov|ZBn{jxnxhQ[ilg\Mkrbo{kcQz_rcw5372k2UTSUBAM^]\7=3XWVhghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~R{Ps`v2043d3VUTTA@B_^]0<3YXWkfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtz\@ludzl~nSYgbe^Kmp`aui}eySxQ|au3150eYXWQFEARQP39;\[ZdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVTlz714`8[ZY_DGGTSR=60^]\fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtX}Vyjx;?:b:]\[]JIEVUT?4?P_^`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZsX{h~?=8l4_^][HKKXWV92>RQPbmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|;9?i6QP_YNMIZYX;09TSRlcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HkrpVYjxx|nthmm[jss988::o5P_^ZOJHYXW:3?SRQmlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zi5?8<:7RQPXMLN[ZY41;^]\\IHJWVU85;QP_cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZ~XzlmTcxz=8042?ZYXPEDFSRQ<96]\[gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVrT~hiPotv104063VUTTA@B_^]0==YXWkfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr6?8<:7RQPXMLN[ZY410UTSobkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~:9<8>;^]\\IHJWVU?<=QP_cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZ~XzlmTcxz>2042?ZYXPEDFSRQ;00]\[gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVrT~hiPotv244073VUTTA@B_^]747YXWkfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr59?:0SRQWLOO\[Z27;VUTnajl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Nip~XL`yh~hzj_UknaZOi|lmymya}_y]qabYh}}2::=5P_^ZOJHYXW=:?SRQmlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\|ZtboVe~x;?90:]\[]JIEVUT8=;P_^`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~tRJfsbpfp`YSadoTEczjgscwkwYW{olSb{{4043?ZYXPEDFSRQ;07]\[gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVrT~hiPotv0711;7:]\[]JIEVUT8=6P_^`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Onq}YCacoi?Q`uu322ZUP8==0SRQWLOO\[Z271VUTnajl_rkn[cv`iVr;#~~jee]frdjXjfr$A`{w_Ekumac5Wf=<6PSV273>YXWQFEARQP402\[ZdkljUxe`Qipfc\|5)txlooShxnl^`l|*Kj}qUOe{gke3]lqq76?VY\<984_^][HKKXWV>:=RQPbmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mlw{[Aoqamo9Sb{{17]PS5213VUTTA@B_^]757YXWkfooR}fm^dscdY8&y{ihjPewco[gi'Dg~tRJfvhff6Zir|82T_Z>;6:]\[]JIEVUT8<=P_^`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Onq}YCacoi?Q`uu36[VQ75^]\fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?YXWQFEARQP404\[ZdkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|89;;>5P_^ZOJHYXW=; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYNF_U:<98Po0f8fibdWzcfSk~ha0;6?gjckVybaRhg`.p}vabfVid~h"tbmc`oZdhpVcxe`Qzsdf>5)ekgjaT|h|foe?245(789:;<=>#cmm`olh`5JfdofQJn``oav*dgdzb`lzfoo?MCFYIm}fby"|alroaZvbz`eo0?!0123456789:'xh}{bdl`l86+|f~j`Rlcobip9612$qcgecvPbhlbv|Yt``lSb~jr`vlvZdkgja6=!vflhl{[gjfkbUicuQmlnah91*aecetRjjl`{\fiidc48'tdbfny]deqcpipUbbRlcobi>56*aecetRintdub}Zir|Vhgcne25-zjhlhW`d{yyQ|c`nfvZdkgja6?!vflhl{[hehllUi`bmd_cnlgn;6$qcgecvPsxqwahY`mgoymya}_cnlgn;6$t;;7obkc^qjiZ`wohUh{03c8fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhno=<l5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab60;k0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5<4a3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd0]`}-6.:o1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef2[f/9 9;7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`4Ydq!;;%>>4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vir$dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pcx*27,573kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd0]`}-73!::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5Ze~ 8?"?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6Wjs#=;'<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;Tot&>7(13?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh>4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vir$??&319ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimn:Snw'23+04>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pcx*17,573kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd0]`}-43!::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5Ze~ ;?"?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6Wjs#>;'<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;Tot&=7(13?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohdkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pcx*4-7`!::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5Zjr|!:"?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6We$<'<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;T`xz'11+05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pltv+54/492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde3\hpr/9;#8=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`a7Xd|~#=>'<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;T`xz'15+05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pltv+50/492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde3\hpr/9?#8=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`a7Xd|~#=:'<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;T`xz'19+05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pltv+5;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[Hgcl8Ugyy&=1(12?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[Hgcl8Ugyy&=5(12?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[Hgcl8Ugyy&=9(13?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh>4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vf~x%:&319ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimn:Sa{{(4+04>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pltv+2,573kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd0]oqq.0!::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5Zjr|!2"?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6We$4'<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;Ttb|'0(13?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh?4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vrd~%?=)238fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhno=Rv`r)30-67%>?4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vrd~%?9)238fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhno=Rv`r)34-67>4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\Idbc9Vrd~%<&309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimn:Sua}(32*74=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmij>_ymq,77.;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef2[}iu ;8"?<5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6Wqey$?=&309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimn:Sua}(36*74=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmij>_ymq,73.;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef2[}iu ;<"?<5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6Wqey$?9&309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimn:Sua}(3:*74=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmij>_ymq,7?.;91i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef2[}iu :#8=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`a7Xpfx#?='<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;Ttb|'30+04>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi?Pxnp+0,573kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd0]{kw.2!::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg5Z~hz!<"?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab6Wqey$:'<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm;Ttb|'8(13?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh=l5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab5?;k0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg6=4f3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd3;1=>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhi==a:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflm9;>l5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]Neab49;k0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg774>3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd50:?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh8<6;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[Hgcl?827obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`24>3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd90:?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkoh4dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQ>13a8fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoS?m4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcW88:>o5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabX9:8h7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Z749;h0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg[425k2hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]2044e3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^366f=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijP1431f>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQ>63a8fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoS<8>2c9ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnT=::j1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\5<75i2hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]16g=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijP210`?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohRn5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabX:>;9n6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aY50;i0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg[7>6:k1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\6<4d3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^0:57go5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabX;;8j7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Z25j2hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]757g2`9ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnT:?l4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcW?;9m6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aY0:k1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\344f3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^:1f>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQ713c8fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoS4h5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXkp";%?h4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWjs#<$?<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&1113?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohRmv(1+25665228fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSnw'0(3575=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-41482hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]`}-6.919;7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Ze~ 9#:5?h4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWjs#<$<<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&2113?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohRmv(1+15669=?;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[HgclVir$='=5228fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSnw'0(0575=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-71482hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]`}-6.:19;7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Ze~ 9#95?h4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWjs#<$=<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&310e?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohRmv(1+76c=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-04a3kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^az,5/1:o1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\g|.7!>8m7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Ze~ 9#3>k5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXkp";%4=?;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[HgclVir$='P0228fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSnw'0(]274=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-Z77;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\g|.7!V;:?<5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXkp";%R?=309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTot&?)^3074=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-Z73;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\g|.7!V;>?<5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXkp";%R?9309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTot&?)^3474=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPcx*3-Z7?;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\g|.7!V;2?=5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXkp";%R<<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&_3205>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQly)2*[77492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]`}-6.W;88=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aYdq!:"S?=<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&_3605>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQly)2*[73492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]`}-6.W;<8=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aYdq!:"S?9<1:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUhu%>&_3:05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQly)2*[7?482hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]`}-6.W:9;7obkc^qjiZ`wohUs<"OKDDPWV@CXAG^N^COC_Lcg`Ze~ 9#T8>>4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWjs#<$Q:319ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTot&?)^404>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQly)2*[2573kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^az,5/X0::0najl_rkn[cv`iVr;#LJKESVQA@YNF]OYBLBPM`fg[f/8 U2>k5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXd|~#<$=?;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[HgclVf~x%>&1238fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSa{{(1+2467>?4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWe$='>3238fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSa{{(1+2067?4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWe$='>7238fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSa{{(1+2<67 AEFFVQTBMVCEXH\AAM]NeabXd|~#<$ AEFFVQTBMVCEXH\AAM]NeabXd|~#<$<;309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnT`xz'0(0674=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPltv+4,41;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\hpr/8 8 AEFFVQTBMVCEXH\AAM]NeabXd|~#<$<7309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnT`xz'0(0:75=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPltv+4,5492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]oqq.7!::8=6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aYk}}";%>?<0:`o`fYtadUm|joPx1-B@ACU\[ONSD@[ESLBHZKflmUgyy&?)513?gjckVybaRhg`]{4*GCLLX_^HKPIOVFVKGKWDkohRbzt)2*166&93d8fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSua}(1+05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQwos*3-Z6492hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]{kw.7!V;8>6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aYg{";%R??339ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTtb|'0(]2564&_0506>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQwos*3-Z7?;;1i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\|jt/8 U:5>?4bmf`[vojWozlmRv?/@FGAWRUMLUBBYK]N@N\IdbcWqey$='P2208fibdWzcfSk~ha^z3+DBCM[^YIHQFNUGQJDJXEhnoSua}(1+\65553kfooR}fm^dscdY8&KOHH\[RDG\MKRBZGKGS@okd^zlv-6.W;;8>6lcdb]pmhYaxnkTt=!NDEGQPWCBW@D_I_@NL^Ob`aYg{";%R<=339ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTtb|'0(]17645==;cnggZuneVl{klQw0.CG@@TSZLOTECZJROCO[HgclVrd~%>&_3;05>dkljUxe`Qipfc\|5)FLMOYX_KJ_HLWAWHFDVGjhiQwos*3-Z54:2hghnQ|il]etbgXp9%JHIK]TSGF[LHSM[DJ@RCnde]{kw.7!V9;?<5mlea\wlkXnymjSu> AEFFVQTBMVCEXH\AAM]NeabXpfx#<$Q;309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTtb|'0(]674=edmiTdcPfqeb[}6(IMNN^Y\JE^KMP@TIIEUFmijPxnp+4,Y1;81i`imPsho\buafWq:$MIJJRUPFAZOI\LXEMAQBaef\|jt/8 U AEFFVQTBMVCEXH\AAM]NeabXpfx#<$Q7309ahaeX{`gTj}in_y2,EABBZ]XNIRGATDPMEIYJimnTtb|'0(]:6`=edmiTdcPfqeb[}6(ZlynxRA]_Epjr`tFFMIgcneJn``oa66 RdqfpZIUWMxbzh|NNEAokfmBfhhgi;:>229ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[5443kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU:>95mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_0210>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX988?7obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ>2368fibdWzcfSk~ha^z3+Z^KFDUJHIK]_UPFAZ74:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS<:=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\50433kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU::?:4bmf`[vojWozlmRv?/^ZOJHYFLMOYSY\JE^3461=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW82986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP1800?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y5:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS?>=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\64433kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU9>?:4bmf`[vojWozlmRv?/^ZOJHYFLMOYSY\JE^0061=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW;>986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP2407?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y5>;>0najl_rkn[cv`iVr;#RVCNL]B@ACUW]XNIR<8259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[7>5<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT>4<<;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED]061=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW::986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP3007?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y4:;>0najl_rkn[cv`iVr;#RVCNL]B@ACUW]XNIR=<259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[625<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT?8<;;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED]027295mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_2:10>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX;0887obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ;259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[165<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT8<<;;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED]76728>95mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_5610>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX<<8?7obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ;6368fibdWzcfSk~ha^z3+Z^KFDUJHIK]_UPFAZ20:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS96=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\0<443kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU>>95mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_4210>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX=88?7obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ:2368fibdWzcfSk~ha^z3+Z^KFDUJHIK]_UPFAZ34:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS8:=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\10433kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU>:?:4bmf`[vojWozlmRv?/^ZOJHYFLMOYSY\JE^7461=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW<2986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP5800?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y1:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS;>=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\24433kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU=>?:4bmf`[vojWozlmRv?/^ZOJHYFLMOYSY\JE^4061=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW?>986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP6407?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y1>;>0najl_rkn[cv`iVr;#RVCNL]B@ACUW]XNIR88259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[3>5<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT:4<<;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED]461=edmiTdcPfqeb[}6(WQFEAROKDDP\PWCBW>:986lcdb]pmhYaxnkTt=!PXMLN[DBCM[U_^HKP7007?gjckVybaRhg`]{4*Y_DGGTMIJJR^VQA@Y0:;>0najl_rkn[cv`iVr;#RVCNL]B@ACUW]XNIR9<259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[225<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT;8<;;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED]427295mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_6:10>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX?0887obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ7259ahaeX{`gTj}in_y2,[]JIEVKOHH\PTSGF[=65<2hghnQ|il]etbgXp9%TTA@B_@FGAWYSZLOT4<<;;cnggZuneVl{klQw0.][HKKXIMNN^RZ]ED];67295mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_9610>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX0<8?7obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ76368fibdWzcfSk~ha^z3+Z^KFDUJHIK]_UPFAZ>0:=1i`imPsho\buafWq:$SUBAM^CG@@TX\[ONS56=4:`o`fYtadUm|joPx1-\\IHJWHNOI_Q[RDG\<<443kfooR}fm^dscdY8&US@CCPAEFFVZRUMLU2>95mlea\wlkXnymjSu> _YNMIZGCLLXTX_KJ_8210>dkljUxe`Qipfc\|5)XPEDFSLJKES]WV@CX188?7obkc^qjiZ`wohUs<"QWLOO\EABBZV^YIHQ62748fibdWzcfSk~ha^z3+ZYXPEDFSRQ?_^]wkwwrmVidby|`lmgq[gi'Dida}o}_@fg[jss9R9:QRIAD^61[jYKmzy_dl`P27]l[issl`<<7obkc^qjiZ`wohUs<"QP_YNMIZYX9VUTxb|~ud]`kkrugefn~Rl`x.O`khvfzVKohRazt0Y05XY@FMU?>RaPLdqpPmgiW;?R_FLG[14XgVFn~Zgao]12ZiXd|~oe?9l;cnggZuneVl{klQw0.]\[]JIEVUT?RQPtnprq`Ydgg~ycabjr^`l|*Kdgdzj~RJfsbpfp`YSadoTEczjgscwkwYrWhnoW>?R_FLG[14XgVFn~Zgao]24ZiXd|~oe:j4bmf`[vojWozlmRv?/^]\\IHJWVU?SRQ{ossvaZehf}xd`ak}_cm{+HeheykySIg|csgwaZRnelUBbykhr`vlvZsXimnP?SRQ{ossvaZehf}xd`ak}_cm{+HeheykySIg|csgwaZRnelUBbykhr`vlvZsXimnP?:l4bmf`[vojWozlmRv?/^]\\IHJWVU=SRQ|pdgg[fii|{eg`h|Pbnz,IfijxhxTHd}lrdvf[QojmVCexhi}aumq[pYflmQ8=PQHNE]03ZiXDlyxXeoa_0:\kZjr|mc9j6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=>>4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?>309ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HgclVKohRazt03374=edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp476;81i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8;9?<5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Lcg`ZGclVe~x ctpqakrXmkgSoaw/Lcg`ZGclVe~x;91i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|888=6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=?><1:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{13305>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww574492hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9;98=6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=?:<1:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{13705>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww570492hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9;=8=6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=?6<1:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{13;04>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww56563kfooR}fm^dscdY8&i~~kat^gueiYegq%FmijPAef\kpr6;99:7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:?<=?;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>4228fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3675=edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp40482hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9>9;7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:4>>4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?6349ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HgclVKohRazt0]`}-6.;?1i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Uhu%>&1258fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3\g|.7!8:8;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rmv(1+2561dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Ze~ 9#:9>94bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pcx*3-404?2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vir$='>7258fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3\g|.7!828;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rmv(1+2=60_b{+4,47;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Uhu%>&2014?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[f/8 89?:5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Lcg`ZGclVe~x:=8;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_b{+4,4?;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Uhu%>&2815?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[f/8 98;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rmv(1+0460_b{+4,34>2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vir$='9379ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HgclVKohRazt0]`}-6.?:<0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cnde]B`aYh}};Tot&?)915?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[f/8 38;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rmv(1+\46152:8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3\g|.7!V;=?55mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Lcg`ZGclVe~x94bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pcx*3-Z4402hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vir$='P211;?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[f/8 U9=>64bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pcx*3-Z45;11i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Uhu%>&_310<>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Ze~ 9#T>9=7;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_b{+4,Y5=:20najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cnde]B`aYh}};Tot&?)^057==edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp4Ydq!:"S?9<8:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{1^az,5/X:1937obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:Snw'0(]1=61dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Ze~ 9#T:>94bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pcx*3-Z14?2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vir$='P8258fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3\g|.7!V38:6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rbzt)2*72=edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp4Yk}}";%<=7;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_mww,5/68:20najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cnde]B`aYh}};T`xz'0(327==edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp4Yk}}";%<<<8:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{1^nvp-6.9:937obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:Sa{{(1+206>82:8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*KflmUJhiQ`uu3\hpr/8 ;2?:5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Lcg`ZGclVe~x ctpqakrXmkgSoaw/Lcg`ZGclVe~x&221;?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[iss 9#98>64bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pltv+4,42;11i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Ugyy&?)340<>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Zjr|!:">:=7;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_mww,5/50:20najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cnde]B`aYh}};T`xz'0(0:72=edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp4Yk}}";%>=7;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_mww,5/48:20najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cnde]B`aYh}};T`xz'0(1272=edmiTdcPfqeb[}6(k|xyiczPewco[gi'DkohROkd^mvp4Yk}}";%9=8;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_mww,5/2;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Ugyy&?)714?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[iss 9# ctpqakrXmkgSoaw/Lcg`ZGclVe~x&_000=>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Z~hz!:"S<=<9:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{1^zlv-6.W8>856lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rv`r)2*[43412hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vrd~%>&_040=>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EhnoSLjk_nww5Z~hz!:"S<9<9:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IdbcWHnoSb{{1^zlv-6.W82856lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv M`fg[DbcWf=Rv`r)2*[4?402hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Aljk_@fg[jss9Vrd~%>&_31:?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[}iu 9#T>==6;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_ymq,5/X:8927obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:Sua}(1+\675>3kfooR}fm^dscdY8&i~~kat^gueiYegq%FmijPAef\kpr6Wqey$='P221:?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[}iu 9#T>9=6;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_ymq,5/X:<927obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:Sua}(1+\635>3kfooR}fm^dscdY8&i~~kat^gueiYegq%FmijPAef\kpr6Wqey$='P261:?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[}iu 9#T>5=6;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NeabXImnTcxz>_ymq,5/X:0937obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Baef\EabXg|~:Sua}(1+\76? ctpqakrXmkgSoaw/Lcg`ZGclVe~x&_71;?gjckVybaRhg`]{4*erz{oexRkyam]ak})JimnTMijPotv2[}iu 9#T;>64bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Ob`aYFlmUdyy?Pxnp+4,Y?;11i`imPsho\buafWq:$ox|}eov\asgkWkes#@okd^Cg`Zir|8Usc&?)^;0=>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;TMNGA_150?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^C@MKY6?=1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XIJCES<>84:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdzTMNAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of|::4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynz>_lwo[qcjxVKHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdz:SLMFN^3031=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_@ALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\EFOIW8><86lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhx_lwo[qcjx8UJOD@P1457?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^C@MKY6>>>0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugntZGDG\^TxbQluspfjqYb~hfTnbv MlwopZVugmhx0<2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|8UfyaQ{elr\EFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugnt4YFK@DT=49<;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyUJOB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=ROLIO]131=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_@ALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\EFOIW;:<86lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhx_lwo[qcjx8UJOD@P2057?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^C@MKY5:>>0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugntZGDG\^TxbQluspfjqYb~hfTnbv MlwopZVugmhx89;;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyUJOB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=ROLIO]1222 ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;TMNGA_3:40>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~PABMVPZrhWjy~h`{_dtbhZdhp&GfyazPPsmgqfr6WdgSykbp0]BGLHX:0=87obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiuYFKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kw9VKHECQ<729ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{SLM@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}?PABKM[1143kfooR}fm^dscdY8&i~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]BGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos5ZGDAGU>;>5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;TMNGA_750?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^C@MKY0?:1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XIJCES59<;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyUJOB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=ROLIO]:36=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW9=87obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kw9VZHECQ>759ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{S]M@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}?PPBKM[460<2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT=<9;;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO]2622 ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_0640>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhWjy~h`{_dtbhZdhp&GfyazPPsmgqfr6WdgSykbp0]SGLHX9<=?7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kw9VZHECQ>6668fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYd}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ70?=1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES<684:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdzT\NAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of| ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_3240>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhWjy~h`{_dtbhZdhp&GfyazPPsmgqfr6WdgSykbp0]SGLHX:8=?7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kw9VZHECQ=2668fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYd}{xnbyQjv`n\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ44?=1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES?:84:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdzT\NAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of|_lwo[qcjxVZHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^0431=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW;2<86lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhx_lwo[qcjx8U[OD@P2850?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY4?=1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES>>84:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdzT\NAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv2[hskW}of|::4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^1031=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW:><86lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhx_lwo[qcjx8U[OD@P3457?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY4>>>0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugntZVDG\^TxbQluspfjqYb~hfTnbv MlwopZVugmhx0<2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT?49<;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO]731=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW=:<86lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhx_lwo[qcjx8U[OD@P4057?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY3:>>0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~:S`{c_ugntZVDG\^TxbQluspfjqYb~hfTnbv MlwopZVugmhx<;>5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_450?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY1?:1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES:9<;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO];36=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgVi~~kat^gueiYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW0937obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt6?80najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT==9=;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjxVKHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbey;TMNGA_0346>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_@AJJZ75?;1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU:?:<4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P1551?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|ROLOTV\pjYd}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}?PABKM[430:2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]BGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr2[DENFV;=;?5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ>7608fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|80najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT>?9=;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjxVKHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbey;TMNGA_3146>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}QNCNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_@AJJZ43?;1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU99:<4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P2751?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|ROLOTV\pjYd}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}?PABKM[710:2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]BGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr2[DENFV83;?5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ=9638fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|;0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT9:?4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P6638fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|;0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[DEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT5:?4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P0638fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|80najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT=99=;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbey;T\NGA_0746>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ71?;1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU:;:<4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P1951?gjckVybaRhg`]{4*erz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYd}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[4?092hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV8<>6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR80najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT>;9=;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbey;T\NGA_3546>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ4??;1i`imPsho\buafWq:$ox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dSn{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU95:?4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P3608fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR==739ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu]nqiYsmdzT\NAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]0724;82:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbeyU[OB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]MFN^1537=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhWjy~h`{_dtbhZdhp&GfyazPPsmgqfrXe|fTxhc1^R@MKY4?>80najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"Cbumv\Twic}j~TaxbPtdos[UEH]]UcRmzrsgmpZcqieUicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT?59=;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbey;T\NGA_2;45>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ20:2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV>;;?5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_bwqv`hsWl|j`Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ;1608fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZerz{oexRkyam]ak})Je|fS]|`dtaw[hskW}of|6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR:;739ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu]nqiYsmdzT\NAZT^vl[fsuzldShxnl^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]7124dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiXk|xyiczPewco[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ0092hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eTox|}eov\asgkWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV=<=6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPctpqakrXmkgSoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR681:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Ihsk|VZyci{lt^ovhZrbeyU[OB[[_um\gptumg~Ti{oc_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]MFN^;7f>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`p7Yh}};#=:4?)^zlv-72!8;TECXP0578fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv1[jss9!;<6='Pxnp+53/69=?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~9Sb{{1)34>5/Xpfx#=:'>1268fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6;<1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?>379ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HurjVY~ny|ncu]lqq768:<0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>1015?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9888:6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt03073=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgqYh}};:8>84bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv250513kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=<8<6:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IvseWZixolt^mvp470;?1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?>8248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6909>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1315?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9;:8:6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt00273=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgqYh}};9>>84bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv266513kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=?:<6:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,IvseWZixolt^mvp442;?1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?=6248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6:>9=7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{13:02>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|882?85mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3073=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgqYh}};8<>84bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv274523kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=9=:;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww50523kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=;=:;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww52523kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=5=:;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5<5f3kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=Rmv(1+0f>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|8Uhu%>&12a8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6Wjs#<$??3b9ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HurjVY~ny|ncu]lqq7Xkp";%m4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[f/8 ;??n5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\g|.7!8?8o6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]`}-6.9?9h7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/6?:i0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,7?;j1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?Pcx*3-4?4j2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A~{m_Rwapwgd|Ve~xdkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|8Uhu%>&231`?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9Vir$='=32a8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6Wjs#<$<;3b9ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HurjVY~ny|ncu]lqq7Xkp";%?;;=l;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Ze~ 9#9;>m4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[f/8 83?n5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\g|.7!;38n6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]`}-6.;:i0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,57;k1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?Pcx*3-15e3kfooR}fm^dscdY8&i~~kat^gueiYegq%FxlPSt`wvdesWf=Rmv(1+67g=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgqYh}};Tot&?)71a?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9Vir$='83c9ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HurjVY~ny|ncu]lqq7Xkp";%5=m;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Ze~ 9#2?n5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\g|.7!V:8o6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]`}-6.W89o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X999o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X989o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9;9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9:9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9=9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9<9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9?9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X9>9o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X919o7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X909h7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^az,5/X::n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y58:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y59:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5::n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5;:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5<:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5=:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5>:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y5?:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y50:n0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y51:i0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_b{+4,Y4;j1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?Pcx*3-Z24k2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A~{m_Rwapwgd|Ve~xdkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|8Uhu%>&_81a?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9Vf~x%>&3b9ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+HurjVY~ny|ncu]lqq7Xd|~#<$?==k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:"><=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">?=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">>=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">9=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">8=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">;=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">:=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">5=k;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:">4=l;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Zjr|!:"?>j4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[iss 9#8<>j4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[iss 9#8=>m4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[iss 9#??n5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\hpr/8 ?8o6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]oqq.7!?9h7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^nvp-6.?:i0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"C|uc]Pqgruij~Tcxz>_mww,5/?;j1i`imPsho\buafWq:$ox|}eov\asgkWkes#@}zb^Qvfqtfk}Udyy?Pltv+4,?4m2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$A~{m_Rwapwgd|Ve~x_ymq,5/X9;9n7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^zlv-6.W898i6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]{kw.7!V;??h5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\|jt/8 U:9>k4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.OpqgYT}k~ymnzPotv2[}iu 9#T=;=j;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-NwpdX[|h~lm{_nww5Z~hz!:"S<992g8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Kt}kUXyoz}abv\kpr6Wqey$='P211f?gjckVybaRhg`]{4*erz{oexRkyam]ak})J{|hT_xl{r`aw[jss9Vrd~%>&_330a>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(EziS^{mtsc`pZir|8Usc&?)^017`=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Dy~nR]zbupbgqYh}};Ttb|'0(]176c_ymq,5/X:19n7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Bst`\WpdszhiSb{{1^zlv-6.W;38i6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Mrwa[Vse|{khxRazt0]{kw.7!V9;?i5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\|jt/8 U ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\|jt/8 U3?i5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/LqvfZUrj}xjoyQ`uu3\|jt/8 U2?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pes9Vg~`Rzjmq*3-601i`imPsho\buafWq:$ox|}eov\asgkWkes#]|`dtaw5ZkrdV~na}&>1(14?gjckVybaRhg`]{4*erz{oexRkyam]ak})Wzfn~oy?Pmtn\p`kw 88"?:5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pes9Vg~`Rzjmq*27,503kfooR}fm^dscdY8&i~~kat^gueiYegq%[~bjzcu3\ipjX|lg{$<:&369ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Uthl|i=Rczl^vfiu.6= 9<7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!_rnfvgq7Xe|fTxhc(04*72=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Yxdhxm{1^ovhZrbey":;$=8;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-Svjbrk};TaxbPtdos,4>.;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#]|`dtaw5ZkrdV~na}&>9(15?gjckVybaRhg`]{4*erz{oexRkyam]ak})Wzfn~oy?Pmtn\p`kw ;#8;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Psmgqfr6WdgSykbp)03-61<'<7:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Twic}j~:S`{c_ugnt-45!:=0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`p4Yj}eUi`~'22+03>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynz>_lwo[qcjx!8?%>94bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Rqkasd|8UfyaQ{elr+60/4?2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$\akubv2[hskW}of|%<9)258fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Vugmhx#8;6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Psmgqfr6WdgSykbp)0;-614'<6:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Twic}j~:S`{c_ugnt-5.;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#]|`dtaw5ZkrdV~na}&<0(14?gjckVybaRhg`]{4*erz{oexRkyam]ak})Wzfn~oy?Pmtn\p`kw :;"?:5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pes9Vg~`Rzjmq*06,503kfooR}fm^dscdY8&i~~kat^gueiYegq%[~bjzcu3\ipjX|lg{$>=&369ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Uthl|i=Rczl^vfiu.4< 9<7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!_rnfvgq7Xe|fTxhc(27*72=edmiTdcPfqeb[}6(k|xyiczPewco[gi'Yxdhxm{1^ovhZrbey"8:$=8;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-Svjbrk};TaxbPtdos,61.;>1i`imPsho\buafWq:$ox|}eov\asgkWkes#]|`dtaw5ZkrdV~na}&<8(14?gjckVybaRhg`]{4*erz{oexRkyam]ak})Wzfn~oy?Pmtn\p`kw :3"?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pes9Vg~`Rzjmq*7-61dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynz>_lwo[qcjx!>8%>94bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Rqkasd|8UfyaQ{elr+01/4?2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$\akubv2[hskW}of|%::)248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxdkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynz>_lwo[qcjx!="?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pes9Vg~`Rzjmq*;-60SPPsmgqfr6WdgSykbpZ30YZGILV;;>8Q`24;8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Vugmhx:WT\akubv2[hskW}of|V?<]^CM@Z77:;4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Rqkasd|Vg~`Rzjmq*3-6384bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.Rqkasd|Vg~`Rzjmq*24,513kfooR}fm^dscdY8&i~~kat^gueiYegq%[~bjzcu]nqiYsmdz#=<'<6:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,Twic}j~TaxbPtdos,44.;?1i`imPsho\buafWq:$ox|}eov\asgkWkes#]|`dtaw[hskW}of|%?<)248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.6< 9=7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!_rnfvgqYj}eUi`~'14+02>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynzPmtn\p`kw 8<"?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pesWdgSykbp)34-60)248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.5: 9=7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!_rnfvgqYj}eUi`~'22+02>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynzPmtn\p`kw ;>"?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pesWdgSykbp)06-602hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$\akubv\ipjX|lg{$?6&379ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Uthl|iS`{c_ugnt-4>!:?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`pZkrdV~na}&<)248fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.48 9=7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!_rnfvgqYj}eUi`~'30+02>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(X{eoynzPmtn\p`kw :8"?;5mlea\wlkXnymjSu> ctpqakrXmkgSoaw/Qpl`pesWdgSykbp)10-602hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$\akubv\ipjX|lg{$>8&379ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Uthl|iS`{c_ugnt-50!:?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`pZkrdV~na}&;)278fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.2!:?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`pZkrdV~na}&9)278fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.0!:?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`pZkrdV~na}&7)278fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*VugmhxRczl^vfiu.>!<<0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"^}oew`pZkrdV~na}U93\]Svjbrk}UfyaQ{elrX56[XIGNT==<;_n74?gjckVybaRhg`]{4*erz{oexRkyam]ak})Wzfn~oyQbum]wahv\>:WT\akubv\ipjX|lg{W<=R_@LG[465 ctpqakrXmkgSoaw/Qpl`pesWdgSykbpZ40YZVugmhxRczl^vfiu]6;TUJBIQ>036\k7303kfooR}fm^dscdY8&i~~kat^gueiYegq%[~bjzcu]nqiYsmdzP:>SPPsmgqfrXe|fTxhc[01^[DHCW8:98Ra<359ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{+Vse|{khx?Q`uu3+50/4<2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$_xl{r`aw6Zir|8"::$=;;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-Pqgruij~9Sb{{1)34-62 ctpqakrXmkgSoaw/TljpZBbdhsTcxz>(0+01>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/99#896lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?'10+01>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/9;#896lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?'12+01>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/9=#896lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?'14+01>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/9?#896lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?'16+01>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/91#896lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?'18+00>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7/: 9>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&=0(16?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4.59 9>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&=2(16?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4.5; 9>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&=4(16?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4.5= 9>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&=6(16?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4.5? 9>7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&=8(16?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4.51 9?7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x<&<)278fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Sia}UOiaov_nww5-57!:?0najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"[aiu]Gaig~Wf=%=>)268fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Sia}UOiaov_nww5-2.;=1i`imPsho\buafWq:$ox|}eov\asgkWkes#X`ft^FfhdXg|~:$8'<4:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,QkosWMogmtQ`uu3+2,533kfooR}fm^dscdY8&i~~kat^gueiYegq%^bdzPDdnb}Zir|8"<%>:4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.WmmqYCmekrSb{{1):*71=edmiTdcPfqeb[}6(k|xyiczPewco[gi'\dbxRJjl`{\kpr6 0#8?6lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?P0218fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Sia}UOiaov_nww5Z74<2hghnQ|il]etbgXp9%hy|jnu]frdjXjfr$Ycg{_Egoe|Yh}};T===;;cnggZuneVl{klQw0.avvwci|Vo}maQmoy-VjlrXLlfjuRazt0]2562 ctpqakrXmkgSoaw/TljpZBbdhsTcxz>_0100>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7X9=9?7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x5268fibdWzcfSk~ha^z3+fsuzldShxnl^`l|*Sia}UOiaov_nww5Z71;=1i`imPsho\buafWq:$ox|}eov\asgkWkes#X`ft^FfhdXg|~:S<9<4:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,QkosWMogmtQ`uu3\5=533kfooR}fm^dscdY8&i~~kat^gueiYegq%^bdzPDdnb}Zir|8U:5>=4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.WmmqYCmekrSb{{1^000>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7X:99?7obkc^qjiZ`wohUs<"mzrsgmpZcqieUicu!Znhv\@`jfqVe~x:4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.WmmqYCmekrSb{{1^0571=edmiTdcPfqeb[}6(k|xyiczPewco[gi'\dbxRJjl`{\kpr6W;=886lcdb]pmhYaxnkTt=!luspfjqYb~hfTnbv Uokw[AckipUdyy?P2917?gjckVybaRhg`]{4*erz{oexRkyam]ak})Rf`~THhbny^mvp4Y51:90najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"[aiu]Gaig~Wf=R=<4:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,QkosWMogmtQ`uu3\75533kfooR}fm^dscdY8&i~~kat^gueiYegq%^bdzPDdnb}Zir|8U8=>=4bmf`[vojWozlmRv?/bwqv`hsWl|j`Rl`x.WmmqYCmekrSb{{1^607>dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~(]gcSIkcax]lqq7X=:90najl_rkn[cv`iVr;#n{}rdlw[`pfdVhdt"[aiu]Gaig~Wf=R8<3:`o`fYtadUm|joPx1-`qwtbf}UnzlbPbnz,QkosWMogmtQ`uu3\365dkljUxe`Qipfc\|5)d}{xnbyQjv`n\fj~Xm{xTbhz'6(0`?gjckVybaRhg`]{4*erz{oexRkyam]ak}Ybz{Ueiy&8)3a8fibdWzcfSk~ha^z3+fsuzldShxnl^`l|ZcuzVdnx%6&2b9ahaeX{`gTj}in_y2,gptumg~Ti{oc_cm{[`tuWgo$4'94:`o`fYtadUm|joPx1-gaig~'zxgSikcax,p}kroW{olS~|c_hlpp*vfz}cj`Raae/nbwqYtze;d:`o`fYtadUm|joPx1-gaig~:V{Tbhz>c:`o`fYtadUm|joPx1-gaig~WxUeiy<6;cnggZuneVl{klQw0.o`kac(EdsSwQeohl\6Z7698827obkc^qjiZ`wohUs<"cloeg,IhsWsUacd`P2^32644?3kfooR}fm^dscdY8&ghcik Mlw{[Ymg`dT>R?>33;8fibdWzcfSk~ha^z3+hehll%FaxvPz^hlmkY5W8;8=?74bmf`[vojWozlmRv?/lal``)Je|rTvRd`io]1[4739;30najl_rkn[cv`iVr;#`m`dd-Nip~XrV`decQ=_03657??>e:`o`fYtadUm|joPx1-ngjbb'{ogS?Q;1g9ahaeX{`gTj}in_y2,ificm&xn`RdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{ol$<>&4`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^pfcZtbo!;:%9o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQ}ef]qab.6: >j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde+56/3i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW{olSkh(06*0d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZtboVxnk%?:)5c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]qabYumn"::$:n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Prde\v`a/9>#?m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySkh_sgd,4>.8';a:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc` ;<"8l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfc-40!=k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{UyijQ}ef*1<,2>3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!KiraqaqcX\`gnSD`{efpbpjtXzlmT~hi'3(6:?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\v`aXzlm#8$:6;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Prde\v`a/= >27obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde+2,2>3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!KiraqaqcX\`gnSD`{efpbpjtXzlmT~hi'7(6:?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\v`aXzlm#4$:6;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Prde\v`a/1 >37obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde\41>489ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^pfcZtboV;;845mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfcZ76<01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVxnkR|jg^310<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZtboVxnkR?<489ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^pfcZtboV;?845mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfcZ72<01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVxnkR|jg^350<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZtboVxnkR?8489ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^pfcZtboV;3845mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfcZ7><11i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVxnkR|jg^07=>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{olS?>;9:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W;;?56lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySkh_sgd[74312hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW{olSkh_317=>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{olS?:;9:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W;??56lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySkh_sgd[70312hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW{olSkh_357=>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{olS?6;8:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W:>37obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde\01> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Paef+4,203kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!KiraqaqcX\`gnSD`{efpbpjtX}Vkoh%?&499ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^w\eab/99#?46lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySxQnde*25,2?3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!KiraqaqcX\`gnSD`{efpbpjtX}Vkoh%?=)5:8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]v[dbc 89"855mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Paef+51/302hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Ujhi&>5(6;?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\qZgcl!;=%964bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_`fg,41.<11i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTmij'19+7<>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYflm":5$:8;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^cg`-4.<11i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTmij'21+7<>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYflm"9=$:7;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^cg`-45!=20najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~Sljk(31*0==edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsXimn#>9';8:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]b`a.5= >37obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyRokd)05-1><7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyRokd)4*02=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsXimn#;$:8;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^cg`->.<>1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTmij'9(64?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\qZuf|!:"8:5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Ps`v+5,2?3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!KiraqaqcX\`gnSD`{efpbpjtX}Vyjx%??)5:8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]v[vgs 8;"855mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Ps`v+57/302hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Uxmy&>3(6;?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\qZuf|!;?%964bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_rcw,43.<11i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTlz'17+7<>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYti}":;$:7;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^qbp-7?!=20najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~S~o{(0;*02=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsX{h~#>$:7;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^qbp-47!=20najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~S~o{(33*0==edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsX{h~#>?';8:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]peq.5; >37obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyR}nt)07-1>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYti}"3%994bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_rcw,&4e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~#=='>4b9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~#=<';d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_y]qabYh}}":=$?;c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_y]qabYh}}":>$:k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Px^pfcZir|!;9%<:l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Px^pfcZir|!;8%9j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss 89"=9m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss 8>"8i5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/9=#:8n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/9<#?h6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySuQ}ef]lqq.6= ;?o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySuQ}ef]lqq.6> >o7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTtR|jg^mvp-71!8>h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTtR|jg^mvp-70!=n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{UsSkh_nww,41.9=i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{UsSkh_nww,4>.dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[}YumnUdyy&=0(37g>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[}YumnUdyy&=1(6g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\|ZtboVe~x%<>)06`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\|ZtboVe~x%<=)5f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]{[wc`Wf$?<&15a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]{[wc`Wf$?=&4e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~#>>'>4b9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~#>9';d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_y]qabYh}}"98$?;c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_y]qabYh}}"99$:k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Px^pfcZir|!8>%<:l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Px^pfcZir|!8=%9j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss ;<"=9m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss ;="8i5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/:>#:8n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/:1#?h6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySuQ}ef]lqq.50 ;?n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySuQ}ef]lqq.4!=i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{UsSkh_nww,6/6dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[}YumnUdyy&:)06a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\|ZtboVe~x%8&4b9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~#:$?;b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_y]qabYh}}"<%9m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss >#:8o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/0 >h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTtR|jg^mvp->.9=h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{UsSkh_nww,4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVir$dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPcx*27,373kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]`}-73!<:0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`Ze~ 8?"9=5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWjs#=;':0:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTot&>7(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQly)3;-064bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVir$??&519ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoSnw'23+64>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPcx*17,373kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]`}-43!<:0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`Ze~ ;?"9=5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWjs#>;':0:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTot&=7(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQly)0;-06dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPcx*4-1`!<:0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`Zjr|!:"9=5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWe$<':1:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnT`xz'11+65>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPltv+54/292hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\hpr/9;#>=6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXd|~#=>':1:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnT`xz'15+65>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPltv+50/292hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\hpr/9?#>=6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXd|~#=:':1:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnT`xz'19+65>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPltv+5;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUgyy&=1(72?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQcuu*16,363kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]oqq.5; ?:7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXL`yh~hzj_UknaZOi|lmymya}_t]b`aYk}}"98$;>;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUgyy&=5(72?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQcuu*12,363kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]oqq.5? ?:7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXL`yh~hzj_UknaZOi|lmymya}_t]b`aYk}}"94$;>;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUgyy&=9(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQcuu*0-074bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVf~x%:&519ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoSa{{(4+64>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPltv+2,373kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]oqq.0!<:0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`Zjr|!2"9=5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWe$4':0:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTtb|'0(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQwos*2-07%8?4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVrd~%?9)438fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRv`r)34-074bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVrd~%<&509ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoSua}(32*14=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_ymq,77.=81i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[}iu ;8"9<5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWqey$?=&509ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoSua}(36*14=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_ymq,73.=81i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[}iu ;<"9<5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWqey$?9&509ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoSua}(3:*14=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_ymq,7?.=91i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[}iu :#>=6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXpfx#?=':1:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTtb|'30+64>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPxnp+0,373kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\@ludzl~nSYgbe^Kmp`aui}eySxQnde]{kw.2!<:0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`Z~hz!<"9=5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWqey$:':0:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTtb|'8(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQwos*:-0g9Po^cg`Z75WF__Snw'0(7b?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV92^MVPZe~ 8;"9h5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<i6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-75!Vx9o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^az,45.W{~>n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-73!_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^az,43.=l1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhx9Po^cg`Z75WF__Snw'19+\vq3e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\Twic}j~:S`{c_ugnt^22UVMEHR=8_n]b`aY6:VE^XRmv(0;*1`=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|8UfyaQ{elrX00[XOGNT?:Q`_`fg[44XG\^Tot&>9(]qp0g9Po^cg`Z75WF__Snw'2(7g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV9&5d9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohR^}oew`p4Yj}eUi`~T44_\CKBX;>UdSljk_00\KPRXkp"9<$Q}t4`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgq7Xe|fTxhc[57^[BHCW:=TcRokd^31[JSSWjs#><':e:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoS]|`dtaw5ZkrdV~na}U;5\]DJAY4?VeTmijP13]LQQYdq!8:%R|{5c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohR^}oew`p4Yj}eUi`~T44_\CKBX;>UdSljk_00\KPRXkp"9>$;j;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZe~ ;8"Sz:b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoS]|`dtaw5ZkrdV~na}U;5\]DJAY4?VeTmijP13]LQQYdq!88%8k4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu3\ipjX|lg{W9;R_FLG[61XgVkohR?=_NWW[f/::#T~y;m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZe~ ;>"9h5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<i6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-42!Vx9o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS< ?n7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynz>_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^az,70.W{~>n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-40!_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^az,7>.=l1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhx9Po^cg`Z75WF__Snw'3(]qp0d9Po^cg`Z75WF__Snw'31+6a>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pes9Vg~`RzjmqY71XY@FMU8;RaPaef\57YH]]Uhu%=?)^pw1d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|8UfyaQ{elrX00[XOGNT?:Q`_`fg[44XG\^Tot&;)4f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgq7Xe|fTxhc[57^[BHCW:=TcRokd^31[JSSWjs#8$Q}t4c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgq7Xe|fTxhc[57^[BHCW:=TcRokd^31[JSSWjs#9$;k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZe~ <#T~y;n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZe~ ?#>h6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-0.W{~>m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]`}-1.=m1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhx9Po^cg`Z75WF__Snw'8(]qp0g9Po^cg`Z75WF__Snw'9(7g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV9&5c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohR^}oew`p4Yj}eUi`~T44_\CKBX;>UdSljk_00\KPRXpfx#<$;m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZ~hz!;"9n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]{kw.69 ?h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynz>_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^zlv-75!9Po^cg`Z75WF__Sua}(05*1f=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|8UfyaQ{elrX00[XOGNT?:Q`_`fg[44XG\^Ttb|'19+6g>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pes9Vg~`RzjmqY71XY@FMU8;RaPaef\57YH]]Usc&>9(7a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV9UdSljk_00\KPRXpfx#>=':c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoS]|`dtaw5ZkrdV~na}U;5\]DJAY4?VeTmijP13]LQQYg{"9=$;l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZ~hz!89%8m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu3\ipjX|lg{W9;R_FLG[61XgVkohR?=_NWW[}iu ;9"9n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU]{kw.5= ?h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynz>_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^zlv-41!dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pes9Vg~`RzjmqY71XY@FMU8;RaPaef\57YH]]Usc&<1(7e?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV9)^pw1g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|8UfyaQ{elrX00[XOGNT?:Q`_`fg[44XG\^Ttb|'4(7a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfr6WdgSykbpZ66YZAILV9UdSljk_00\KPRXpfx#:$;m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVPZ~hz!="9o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT^zlv-?.=11i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^XRmv(1+6<>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9 sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt^ovhZrbeyQ?9PQHNE]03ZiXimnT9RAZT^az,46.=j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^XRmv(02*[wr212hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Uthl|iS`{c_ugnt^22UVMEHR=8_n]b`aY2WF__Snw'10+6g>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9$;l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv\ipjX|lg{W9;R_FLG[61XgVkohR;POTV\g|.6: Uyx874bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU]`}-74!dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9 ?h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPcx*22,Yu|<30najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYWzfn~oyQbum]wahv\<o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_b{+5dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9=':c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoS]|`dtaw[hskW}of|V::]^EM@Z50WfUjhiQ:_NWW[f/:9#T~y;6;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv\ipjX|lg{W9;R_FLG[61XgVkohR;POTV\g|.59 ?h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPcx*15,Yu|<30najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYWzfn~oyQbum]wahv\<o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_b{+61/Xz}?27obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPcx*11,3d3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\Twic}j~TaxbPtdos_13ZWNDOS>9Po^cg`Z3XG\^Tot&=5(]qp0?UdSljk_4]LQQYdq!8=%R|{589ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'DkohR^}oew`pZkrdV~na}U;5\]DJAY4?VeTmijP5^MVPZe~ ;="9n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt^ovhZrbeyQ?9PQHNE]03ZiXimnT9RAZT^az,71.W{~>56lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_b{+6=/2k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Uthl|iS`{c_ugnt^22UVMEHR=8_n]b`aY2WF__Snw'29+\vq3>3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\Twic}j~TaxbPtdos_13ZWNDOS>9Po^cg`Z3XG\^Tot&=9(7`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FmijPPsmgqfrXe|fTxhc[57^[BHCW:=TcRokd^7\KPRXkp"95$Q}t4:8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgqYj}eUi`~T44_\CKBX;>UdSljk_4]LQQYdq!9"9o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt^ovhZrbeyQ?9PQHNE]03ZiXimnT9RAZT^az,6/Xz}?27obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPcx*04,3d3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Baef\Twic}j~TaxbPtdos_13ZWNDOS>9Po^cg`Z3XG\^Tot&<0(]qp0>#>n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_b{+3,Yu|<20najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYWzfn~oyQbum]wahv\<56lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_mww,5/212hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Uthl|iS`{c_ugnt^22UVMEHR=8_n]b`aY2WF__Sua}(1+6=>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9 sqgf`Zehf}xd`ak}_cm{+HgclVZyci{lt^ovhZrbeyQ?9PQHNE]03ZiXimnT9RAZT^zlv-76!9Po^cg`Z3XG\^Ttb|'12+6e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Aljk_Qpl`pesWdgSykbpZ66YZAILV9%8o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU]{kw.6> ?j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPxnp+52/2i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Uthl|iS`{c_ugnt^22UVMEHR=8_n]b`aY2WF__Sua}(0:*1d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|Vg~`RzjmqY71XY@FMU8;RaPaef\1ZIR\Vrd~%?6)4;8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgqYj}eUi`~T44_\CKBX;>UdSljk_4]LQQYg{"9%8o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU]{kw.58 ?j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NeabXX{eoynzPmtn\p`kwS=?VSJ@K_25\kZgclV?TCXZPxnp+64/2i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv M`fg[Uthl|iS`{c_ugnt^22UVMEHR=8_n]b`aY2WF__Sua}(30*1d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@okd^Rqkasd|Vg~`RzjmqY71XY@FMU8;RaPaef\1ZIR\Vrd~%<<)4c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GjhiQ_rnfvgqYj}eUi`~T44_\CKBX;>UdSljk_4]LQQYg{"98$;n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})JimnT\akubv\ipjX|lg{W9;R_FLG[61XgVkohR;POTV\|jt/:<#>m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_ymq,70.=h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^XRv`r)04-0g4':9:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EhnoS]|`dtaw[hskW}of|V::]^EM@Z50WfUjhiQ:_NWW[}iu :#>m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IdbcWYxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[_ymq,66.=h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lcg`ZVugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^XRv`r)12-0bUdSljk_4]LQQYg{">%874bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*KflmU[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU]{kw.1!<30najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Ob`aYWzfn~oyQbum]wahv\<UdSljk_4]LQQYg{"2%;j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kdgdzj~RJfsbpfp`YSadoTEczjgscwkwYrWhnoW>?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2%;j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kdgdzj~RJfsbpfp`YSadoTEczjgscwkwYrWhnoW>?R_FLG[61XgVFn~Zgao]2?R_FLG[61XgVFn~Zgao]2]^EM@Z50WfUGi~}[h`l\5=YhWe$<'9e:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(Ejef|l|PDhq`v`rbW]cfiRGatdeqeqiuW|UjhiU<1\]DJAY4?VeT@h}|Ticm[4>XgVf~x%<&6d9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dida}o}_EkpgwcsmV^bahQFnugdvdrhzVTmijT30_\CKBX;>UdSAk|sUjbjZ7?WfUgyy&<)7g8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Ghc`~nr^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk[23^[BHCW:=TcRBjsrVkekY60VeT`xz'4(4f?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Fobcas]Gmveum}oTXdcj_Hlwabtf|fxTyRokdZ12YZAILV9dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$Anabp`p\@ludzl~nSYgbe^Kmp`aui}eySxQndeY05XY@FMU8;RaPLdqpPmgiW82TcRbzt)4*2a=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@m`mqcq[Aotk{oiRZfmd]Jjqc`zh~d~R{PaefX74[XOGNT?:Q`_MgpwQnffV;3SbQcuufj7a=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7`=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos52eR^LIO]33f=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZVDG\^TxbQ|pdgg[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz9S]MFN^34`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_024`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_034`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_004`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_014`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_064`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_074`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_044`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_054`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_0:4`>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_0;4g>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NGA_35g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P215g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P205g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P235g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P225g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P255g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P245g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P275g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P265g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P295g?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P285`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OD@P36f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<06f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<16f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<26f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<36f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<46f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<56f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<66f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<76f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<86f8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ<96a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VZHECQ;7e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx7e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu4XXJCES;9l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}?PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt7YWK@DT;:m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of| sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr1[UENFV38i6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz9;n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{>ROLOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr0[DENFV:=o7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8TMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp2]BGLHX91=o7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8TMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp2]BGLHX90=h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8TMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp2]BGLHX:>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY58>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY59>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5:>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5;>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5<>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5=>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5>>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY5?>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY50>n0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY51>i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^C@MKY4?j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw:VKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~<_@AJJZ20k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxQNCHL\22edkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr1[DEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey9TMNGA_85`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq0\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx:U[OD@P06a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp3]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw;VZHECQ>7e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc2^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx7e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc2^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES??8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?<8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?=8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?:8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?;8d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?88d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?98d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?68d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES?78c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu5XXJCES>9k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}9k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}:j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU??:j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU?8:j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU?9:j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU?::j4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU?;:m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos7ZVDAGU>;n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{>R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr0[UENFV<=h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey8T\NAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp2]SGLHX0>i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx;U[OB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc3^R@MKY>;l1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw;>h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_@AJJZ60j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8UJOD@P135`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]BGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw9VKHECQ>36a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^C@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{SLM@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\EFOIW83i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_@AJJZ47?j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kwWHIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}?PABKM[770k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8UJOD@P275`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]BGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw9VKHECQ=76a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^C@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxh0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_@AJJZ00j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8UJOD@P96`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT=:m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UENFV;;;n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW8;i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ73?j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}?PPBKM[430k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8U[OD@P26a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx?9l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZVDAGU9?:m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UENFV8?;n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW;?i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ4??j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}?PPBKM[7?0j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8U[OD@P335`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw9VZHECQ<36a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhx;8c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT?;9l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZVDAGU8;:m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr2[UENFV93;n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW:3i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ27?j1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kwWYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}?PPBKM[170k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8U[OD@P475`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oy?Pmtn\p`kw9VZHECQ;76`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxh0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~>_QAJJZ?4k2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu5c3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt41f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]MFN^24e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHECQ>7c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWK@DT==9m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of| sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]SGLHX9;=i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_QAJJZ74?k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?Q_CHL\511e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]MFN^363g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx;U[OD@P175a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv5WYIBBR?87c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWK@DT=59m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of| sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]SGLHX:>h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}R^LIO]152ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHECQ=36`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XXJCES?:8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos6ZVDAGU99:l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\TFOIW;<h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}0j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{>R^LIO]1=2g_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWK@DT?<9m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of| sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]SGLHX;:=i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_QAJJZ53?k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?Q_CHL\701e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]MFN^153g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx;U[OD@P365a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp0]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv5WYIBBR=77c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWK@DT?49n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}R^LIO]762ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHECQ;46`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XXJCES9;8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos6ZVDAGU?::l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\TFOIW== sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9;l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9SLM@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]BGLHX8>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PABKM[41e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YFKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8SLMFN^333g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr1[DEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx:UJOD@P105a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]BGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv4WHIBBR?=7c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_@ALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt6YFK@DT=>9m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?QNCNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr0[DENFV;?;o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9SLM@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]BGLHX9<=i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx;UJOB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~<_@AJJZ71?k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv5WHIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|>QNCHL\521e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YFKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8SLMFN^3;3g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr1[DEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx:UJOD@P185b?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]BGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv4WHIBBR<8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}ROLOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq1\EFOIW;;h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PABKM[750j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XIJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{?ROLIO]102ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw;VKHECQ=66`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc2^C@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu5XIJCES?98b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}ROLOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq1\EFOIW;3QNCHL\02g sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9SLM@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]BGLHX0>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PABKM[<1f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8S]MFN^24e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw;VZHECQ>7c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt6YWK@DT==9m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr0[UENFV;:;o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]SGLHX9;=i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx;U[OB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~<_QAJJZ74?k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv5WYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|>Q_CHL\511e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8S]MFN^363g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr1[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx:U[OD@P175a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv4WYIBBR?87c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt6YWK@DT=59m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr0[UENFV;2;l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]SGLHX:>h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PPBKM[760j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{?R^LIO]152ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw;VZHECQ=36`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc2^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu5XXJCES?:8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq1\TFOIW;<h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PPBKM[7>0j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{?R^LIO]1=2g sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz9S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp2]SGLHX;:=i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx;U[OB[[_um\wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~<_QAJJZ53?k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv5WYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|>Q_CHL\701e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt7YWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8S]MFN^153g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr1[UEH]]UcR}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx:U[OD@P365a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp3]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv4WYIBBR=77c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~=_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt6YWK@DT?49n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|?Q_CNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr0[UENFV>h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw:VZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}=PPBKM[170j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu4XXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{?R^LIO]762ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq0\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw;VZHECQ;46`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc2^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu5XXJCES9;8b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq1\TFOIW==Q_CHL\22g sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz8;45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzTMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^C@MKY7?01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=ROLIO]23d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ>06c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_@ALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT=<9n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|ROLOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\EFOIW88_@AJJZ74?h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=ROLIO]202g sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzTMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^C@MKY60>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugntZGDG\^TxbQ|pdgg[fii|{eg`h|Pbnz,Ihsk|VZyci{lt^ovhZrbey;TMNGA_35b?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^C@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XIJCES?>8a:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}QNCNWW[qiX{yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[DENFV8:;l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzTMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^C@MKY5:>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]BGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WHIBBR<:7`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PABMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU9::o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp0]BGLHX:>=j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVKHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PABKM[7>0i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiuYFKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:SLMFN^0:3<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ<789ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PABMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU?;45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzTMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^C@MKY2?01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=ROLIO]53<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ8789ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PABMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU3;45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzTMNAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^C@MKY>?01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]33<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ>7`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU:<:o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp0]SGLHX98=j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[440i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]MFN^303d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ>46c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT=89n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFOIW8<_QAJJZ70?h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]2<2g_QAJJZ46?h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]162g;l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzT\NAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^R@MKY5>>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR<6789ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU8;l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdzT\NAZT^vl[vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc1^R@MKY48>k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eT}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR=<7`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhWzzniiQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU88:o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZuwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp0]SGLHX;<=j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[600i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]MFN^143d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ<86c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT?496;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFOIW==j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]pt`ccWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[160i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiuYWKF__SyaPsqgf`Zehf}xd`ak}_cm{+Hkrd}U[~bjzcu]nqiYsmdz:S]MFN^623d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dS~~jee]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ;26c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgVy{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT8>9n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYtxlooSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFOIW=>_QAJJZ22?h1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_rrfaaYdgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{=R^LIO]722g3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugntZVDG\^TxbQ|pdgg[fii|{eg`h|Pbnz,Ihsk|VZyci{lt^ovhZrbey;T\NGA_95:?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^R@KPRX|fUx|hkk_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XXJCES4:l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|rTHd}lrdvf[QojmVCexhi}aumq[pYti};;=9m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|8;;8n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVNbn|jtd]WmhcXAg~nko{os]v[vgs98;?o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^w\wdr6:8>h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Nip~XL`yh~hzj_UknaZOi|lmymya}_t]peq749=i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Onq}YCaziyiykPThof[Lhsmnxjxb|Pu^qbp426dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVTlz=006`?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<>15a8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtX}Vyjx?<>4c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~tRJfsbpfp`YSadoTEczjgscwkwYrWzk>>:l;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Je|rTHd}lrdvf[QojmVCexhi}aumq[pYti}8?=9m4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|;=:8n5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVNbn|jtd]WmhcXAg~nko{os]v[vgs:1;?o6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^w\wdr518>h7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Nip~XL`yh~hzj_UknaZOi|lmymya}_t]peq579=i0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Onq}YCaziyiykPThof[Lhsmnxjxb|Pu^qbp676dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVTlz:15`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtX}Vyjx;?;b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZsX{h~<=9l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|1;?n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^w\wdr>9:k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Onq}YWzfn~oyQ`uu32445f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtz\Twic}j~Tcxz>1030e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{w_Qpl`pesWf=<<>3`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~tR^}oew`pZir|8;8=>o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Kj}qU[~bjzcu]lqq76<89j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Nip~XX{eoynzPotv25074i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Mlw{[Uthl|iSb{{10427d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czx^Rqkasd|Ve~x>?:?l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVZyci{lt^mvp4429:30najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Onq}YWzfn~oyQ`uu3126g:? sqgf`Zehf}xd`ak}_cm{+HkrpVZyci{lt^mvp44>9:k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Onq}YWzfn~oyQ`uu30445f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!Bmtz\Twic}j~Tcxz>3030e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$A`{w_Qpl`pesWf=><>389ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~tR^}oew`pZir|898?45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVZyci{lt^mvp426;01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lov|ZVugmhxRazt0727<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#@czx^Rqkasd|Ve~x<8>389ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Dg~tR^}oew`pZir|8=:?45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+HkrpVZyci{lt^mvp4>6;01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Lov|ZVugmhxRazt0;27d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&?)2c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdz#=$=m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw 8:"?o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.69 9i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos,44.;k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pes9Vg~`Rzjmq*27,5e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgq7Xe|fTxhc(06*7g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&>5(1a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$<8&3c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey":;$=m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw 82"?o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.61 9j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos,7/4j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Psmgqfr6WdgSykbp)03-6ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv2[hskW}of|%<=)2`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdz#>>'_lwo[qcjx!8?%>l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxdkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv2[hskW}of|%=&3c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey"8<$=m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw :;"?o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.4: 9i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos,65.;k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pes9Vg~`Rzjmq*00,5e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgq7Xe|fTxhc(27*7g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&<6(1a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$>9&3c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey"84$=m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw :3"?l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.3!:h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|8UfyaQ{elr+05/4j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Psmgqfr6WdgSykbp)62-6ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv2[hskW}of|%:<)2`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdz#89'_lwo[qcjx!>>%>l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Vugmhx&3c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey">=$=m;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw <8"?o5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.2; 9i7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos,02.;k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pes9Vg~`Rzjmq*61,5e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgq7Xe|fTxhc(44*7g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&:7(1a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$86&3c9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey">5$=n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw ?#8n6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~:S`{c_ugnt-07!:h0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|8UfyaQ{elr+24/4j2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Psmgqfr6WdgSykbp)41-6ddkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv2[hskW}of|%9&3`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbey"3%>o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*Vugmhx9Po^cg`Z75WF__$=':2:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT)3*16=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}U;5\]DJAY4?VeTmijP13]LQQ.68 ?87obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos_13ZWNDOS>9Po^cg`Z75WF__$5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu]3=TULBIQ<7^m\eabX9;UDYY&>4(70?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{W9;R_FLG[61XgVkohR?=_NWW,43.=:1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pes9Vg~`RzjmqY71XY@FMU8;RaPaef\57YH]]"::$;<;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kwS=?VSJ@K_25\kZgclV;9SB[[(05*16=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}U;5\]DJAY4?VeTmijP13]LQQ.60 ?87obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk};TaxbPtdos_13ZWNDOS>9Po^cg`Z75WF__$<7&539ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbeyQ?9PQHNE]03ZiXimnT=?Q@UU*1-05UdSljk_00\KPR/:9#>?6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~:S`{c_ugnt^22UVMEHR=8_n]b`aY6:VE^X%<>)418fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv2[hskW}of|V::]^EM@Z50WfUjhiQ>2^MVP-42!<90najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|8UfyaQ{elrX00[XOGNT?:Q`_`fg[44XG\^#>;':3:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR>>QRIAD^14[jYflmU:>RAZT)04-05UdSljk_00\KPR/:1#>?6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~:S`{c_ugnt^22UVMEHR=8_n]b`aY6:VE^X%<6)408fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu]3=TULBIQ<7^m\eabX9;UDYY&<1(71?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{W9;R_FLG[61XgVkohR?=_NWW,1/2:2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv Psmgqfr6WdgSykbpZ66YZAILV9 sqgf`Zehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu]3=TULBIQ<7^m\eabX9;UDYY&9)408fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdzP88SPGOF\72YhWhnoS<>6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~:S`{c_ugnt^22UVMEHR=8_n]b`aY6:VE^X%7&5e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbeyQ=?PQ_rnfvgq7Xe|fTxhc[01^[DHCW8:88Ra>5e9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbeyQ=?PQ_rnfvgq7Xe|fTxhc[01^[DHCW8:88Ra=5b9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{1^ovhZrbeyQ=?PQ_rnfvgq7Xe|fTxhc[70^[DHCW8:8>Ra:d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR<8QR^}oew`p4Yj}eUi`~T63_\EKBX9999Sb?:d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR<8QR^}oew`p4Yj}eUi`~T63_\EKBX9999Sb<:d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR<8QR^}oew`p4Yj}eUi`~T63_\EKBX9999Sb=:d:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR<8QR^}oew`p4Yj}eUi`~T63_\EKBX9999Sb::c:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynz>_lwo[qcjxR<8QR^}oew`p4Yj}eUi`~T63_\EKBX9998Sb;k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kwS?9VS]|`dtaw5ZkrdV~na}U92\]BJAY68:9Tc<;k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kwS?9VS]|`dtaw5ZkrdV~na}U92\]BJAY68:9Tc?;k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kwS?9VS]|`dtaw5ZkrdV~na}U92\]BJAY68:9Tc>;k;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kwS?9VS]|`dtaw5ZkrdV~na}U92\]BJAY68:9Tc9=6;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv/8 927obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+5,5f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~'11+0e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\ipjX|lg{$o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu.6; 9j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+51/4i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXe|fTxhc(07*7d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|%?9)2c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt^ovhZrbey":;$=n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv/91#8m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos,4?.;01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pesWdgSykbp)0*7d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|%;' sqgf`Zehf}xd`ak}_cm{+Uthl|iS`{c_ugnt-55!:k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|Vg~`Rzjmq*07,5f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~'35+0e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\ipjX|lg{$>;&3`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_lwo[qcjx!9=%>o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu.4? 9j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+7=/4i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXe|fTxhc(2;*7<=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|%:&3`9ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_lwo[qcjx!>;%>o4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu.39 9j7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+07/4i2hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXe|fTxhc(51*7d=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|%:;)2c8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt^ovhZrbey"?9$=n;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv/ sqgf`Zehf}xd`ak}_cm{+Uthl|iS`{c_ugnt-31!:k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|Vg~`Rzjmq*63,5f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~'59+0e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\ipjX|lg{$87&389ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_lwo[qcjx!<"?l5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|iS`{c_ugnt-07!:k0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|Vg~`Rzjmq*55,5f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~'63+0e>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\ipjX|lg{$;=&389ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_lwo[qcjx!="?45mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|iS`{c_ugnt->.;01i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pesWdgSykbp);*15=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|V::]^EM@Z50WfUjhiQ:_NWW,5/282hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXe|fTxhc[57^[BHCW:=TcRokd^7\KPR/9 ?:7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elrX00[XOGNT?:Q`_`fg[0YH]]":<$;>;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv\<1(72?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU*26,363kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~T44_\CKBX;>UdSljk_4]LQQ.6; ?:7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elrX00[XOGNT?:Q`_`fg[0YH]]":8$;>;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv\<5(72?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU*22,363kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~T44_\CKBX;>UdSljk_4]LQQ.6? ?:7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}UfyaQ{elrX00[XOGNT?:Q`_`fg[0YH]]":4$;>;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv\<9(73?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]nqiYsmdzP88SPGOF\72YhWhnoS8Q@UU*1-07%8?4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^X%<9)438fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt^ovhZrbeyQ?9PQHNE]03ZiXimnT9RAZT)04-074bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu]3=TULBIQ<7^m\eabX=VE^X%=&509ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_lwo[qcjxR>>QRIAD^14[jYflmU>SB[[(22*14=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|V::]^EM@Z50WfUjhiQ:_NWW,67.=91i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pesWdgSykbpZ66YZAILV9<6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos_13ZWNDOS>9Po^cg`Z3XG\^#9$;?;cnggZuneVl{klQw0.qsa`bXkfd~bbces]ak})Wzfn~oyQbum]wahv\<023\k73f3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~T62_\Twic}j~TaxbPtdos_34ZWHDOS<>=9^m6f>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\ipjX|lg{W;=R_Qpl`pesWdgSykbpZ41YZGILV;;>4Q`14`8fibdWzcfSk~ha^z3+vvbmmUhccz}omnfvZdhp&Zyci{lt^ovhZrbeyQ=?PQ_rnfvgqYj}eUi`~T63_\EKBX9982Sb<:b:`o`fYtadUm|joPx1-pt`ccWjeexacldp\fj~(X{eoynzPmtn\p`kwS?9VS]|`dtaw[hskW}of|V8=]^CM@Z77:0Ud?8l4bmf`[vojWozlmRv?/rrfaaYdgg~ycabjr^`l|*VugmhxRczl^vfiu]1;TU[~bjzcu]nqiYsmdzP:?SPAOF\554>Wf>>m6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos_35ZWYxdhxm{_lwo[qcjxR<9QROAD^3375Yh=k1i`imPsho\buafWq:$}kjd^aljqthdeoySoaw/Qpl`pesWdgSykbpZ40YZVugmhxRczl^vfiu]1:TUJBIQ>022\k43e3kfooR}fm^dscdY8&y{ihjPcnlwvjjkm{Uicu!_rnfvgqYj}eUi`~T62_\Twic}j~TaxbPtdos_34ZWHDOS<><0^m11g=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[hskW}of|V8<]^Rqkasd|Vg~`RzjmqY56XYFFMU:<>>Po27a?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]nqiYsmdzP:>SPPsmgqfrXe|fTxhc[70^[DHCW8:8dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\kpr6 89"?;5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|iSb{{1)37-602hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXg|~:$<9&379ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_nww5-7?!:<0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|Ve~x<&>9(16?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]lqq7/: 9=7obkc^qjiZ`wohUs<"}edf\gjhszffgiQmoy-Svjbrk}Udyy?'21+02>dkljUxe`Qipfc\|5)txlooSnaatsmoh`tXjfr$\akubv\kpr6 ;;"?;5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|iSb{{1)01-602hghnQ|il]etbgXp9%x|hkk_bmmpwikdlxTnbv PsmgqfrXg|~:$?;&379ahaeX{`gTj}in_y2,wucblVidby|`lmgq[gi'Yxdhxm{_nww5-41!:<0najl_rkn[cv`iVr;#~~jee]`kkrugefn~Rl`x.Rqkasd|Ve~x<&=7(15?gjckVybaRhg`]{4*uwmlnTob`{rnnoawYegq%[~bjzcu]lqq7/:1#8:6lcdb]pmhYaxnkTt=!|pdgg[fii|{eg`h|Pbnz,Twic}j~Tcxz>(3;*70=edmiTdcPfqeb[}6({yonhRm`nuplhicuWkes#]|`dtaw[jss9!9"?;5mlea\wlkXnymjSu> sqgf`Zehf}xd`ak}_cm{+Uthl|iSb{{1)13-60TECXP1870[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?017\MKPX90?9Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY7893Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY788:TECXP1864[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?003\MKPX90>=Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY7888TECXP1866[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?001\MKPX90>?Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY788>TECXP1860[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?007\MKPX90>9Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY788;Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY7882TECXP181:[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?00;\MKPX9093Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY78;:TECXP1814[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?033\MKPX909=Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY78;8TECXP1816[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?031\MKPX909?Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY78;>TECXP1810[j023kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%IY^Q?037\MKPX9099Sb8:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-AQVY78;TECXP1800[j103kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\FPUX89::Sljk_66\KPR\;=0;Q%>&769ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRLZS^2344YflmU<8RAZTZ17>5[/9 =37obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!HNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?])33-2>PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]AQVY789;TmijP75]LQQ]4<3:V$5[/9;#<46lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7?1^cg`Z13WF__W>:50\*27,1?3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\FPUX89::Sljk_66\KPR\;=0;Q%?;)6:8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP? sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVH^_R>?00]b`aY017(54?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPBTQ\4566WhnoS::POTVX71<7U!8";:5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVH^_R>?00]b`aY0)6:8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP? sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVH^_R>?00]b`aY01?1^cg`Z13WF__W>:50\*5-21PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]AQVY789;TmijP75]LQQ]4<3:V$:'87:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSO[\_1235ZgclV=?SB[[[2694X.?!>=0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYE]ZU;<=?Paef\31YH]]Q887>R(8+5b>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU:;RAZT)0;-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS<9POTV+67^MVP-57!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_05\KPR/;8#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[41XG\^#?>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY61VE^X%<8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU:5RAZT)0:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS<7POTV+75/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>9^MVP-56!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_0;\KPR/;;#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[77XG\^#>;'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY59VE^X%<8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU9=RAZT)0:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS??POTV+75/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[75XG\^#>8'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY5;VE^X%<9)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU9?RAZT)0;-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS?=POTV+6Ve~xWfUiW>?R_`fg[75XG\^#?>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY5=VE^X%<;)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU99RAZT)05-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS?;POTV+62/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[73XG\^#?<'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY5=VE^X%==)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU9;RAZT)00-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS?9POTV+61/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[71XG\^#>5'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY5?VE^X%<6)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU9;RAZT)12-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS?9POTV+77/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[7?XG\^#>9'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY51VE^X%<:)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU95RAZT)04-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS?7POTV+6=/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_3;\KPR/;9#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[7?XG\^#??'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY51VE^X%=<)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8=RAZT)01-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>?POTV+66/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[67XG\^#>:'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY49VE^X%<7)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8=RAZT)13-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>?POTV+74/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[65XG\^#><'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4;VE^X%<=)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8?RAZT)07-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>=POTV+60/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[65XG\^#>4'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4;VE^X%=?)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8?RAZT)11-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>=POTV+76/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_27\KPR/:9#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[63XG\^#>?'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4=VE^X%<<)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU89RAZT)06-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>;POTV+63/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[63XG\^#?='9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4=VE^X%=>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU89RAZT)10-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>9POTV+5=/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_25\KPR/:9#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[61XG\^#>?'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4?VE^X%<<)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8;RAZT)06-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>9POTV+63/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[61XG\^#?='9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY4?VE^X%=>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU8;RAZT)10-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>7POTV+52/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[6?XG\^#><'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY41VE^X%<=)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU85RAZT)07-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>7POTV+60/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[6?XG\^#>4'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY41VE^X%=?)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU85RAZT)11-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS>7POTV+76/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[17XG\^#=4'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY39VE^X%dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?=RAZT)01-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9?POTV+66/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[17XG\^#>:'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY39VE^X%<7)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?=RAZT)13-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9?POTV+74/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>%;h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUiW>?R_`fg[15XG\^#=;'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3;VE^X%?8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU??RAZT)3:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9=POTV+65/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[15XG\^#>9'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3;VE^X%<:)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU??RAZT)04-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9=POTV+6=/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>!?l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_51\KPR/;9#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[15XG\^#??'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3;VE^X%=<)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?9RAZT)36-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9;POTV+53/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[13XG\^#>='9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3=VE^X%<>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?9RAZT)00-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9;POTV+61/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[13XG\^#>5'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3=VE^X%<6)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?9RAZT)12-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS9;POTV+77/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[11XG\^#=8'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3?VE^X%?9)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?;RAZT)3;-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS99POTV+5Ve~xWfUiW>?R_`fg[11XG\^#>>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3?VE^X%<;)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?;RAZT)05-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS99POTV+62/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[11XG\^#?<'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY3?VE^X%==)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?5RAZT)31-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS97POTV+56/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[1?XG\^#=:'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY31VE^X%?7)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?5RAZT)03-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS97POTV+64/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[1?XG\^#>8'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY31VE^X%<9)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU?5RAZT)0;-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS97POTV+6Ve~xWfUiW>?R_`fg[1?XG\^#?>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY29VE^X%?>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>=RAZT)30-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8?POTV+51/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[07XG\^#=5'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY29VE^X%?6)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>=RAZT)02-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8?POTV+67/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>%;h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUiW>?R_`fg[07XG\^#>;'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY29VE^X%<8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>=RAZT)0:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8?POTV+75/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[05XG\^#=='9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2;VE^X%?>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>?RAZT)30-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8=POTV+51/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[05XG\^#=5'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2;VE^X%?6)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>?RAZT)02-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8=POTV+67/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>%;h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUiW>?R_`fg[05XG\^#>;'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2;VE^X%<8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>?RAZT)0:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8=POTV+75/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[03XG\^#=='9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2=VE^X%?>)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>9RAZT)30-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8;POTV+51/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[03XG\^#=5'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2=VE^X%?6)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>9RAZT)02-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8;POTV+67/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>%;h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUiW>?R_`fg[03XG\^#>;'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2=VE^X%<8)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU>9RAZT)0:-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS8;POTV+75/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[03XG\^#5$8i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-DJAY41VeTnV=>]^cg`Z30WF__$<>&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=>UDYY&>1(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?7Po^`X74[XimnT9:Q@UU*27,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;8_NWW,42.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP56]LQQ.6= sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z30WF__$<6&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=>UDYY&>9(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?7Po^`X74[XimnT9:Q@UU*15,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;8_NWW,74.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP56]LQQ.5; ":k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z30WF__$?8&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=>UDYY&=7(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?7Po^`X74[XimnT9:Q@UU*1=,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;8_NWW,66.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP56]LQQ.49 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z30WF__$5'9e:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY2?VE^X%7&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=0UDYY&>0(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?2SB[[(03*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT94Q@UU*26,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;6_NWW,45.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP58]LQQ.6< sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z3>WF__$<9&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=0UDYY&>8(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?2SB[[(0;*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT94Q@UU*14,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;6_NWW,77.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP58]LQQ.5: sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z3>WF__$?;&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=0UDYY&=6(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV?2SB[[(35*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT94Q@UU*1<,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR;6_NWW,7?.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP58]LQQ.48 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?$8i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-DJAY41VeTnV=>]^cg`Z3>WF__$>=&6d9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX=0UDYY&8)7g8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_eku[=)@FMU85RaPbZ12YZgclV?2SB[[(8+5b>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU==RAZT)33-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;?POTV+54/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[37XG\^#=8'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY19VE^X%?9)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU==RAZT)3;-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;?POTV+5Ve~xWfUiW>?R_`fg[37XG\^#>>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY19VE^X%<;)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU==RAZT)05-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;?POTV+62/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[37XG\^#?<'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY19VE^X%==)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU==RAZT)4*2`=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT:PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;?POTV+<,0b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR8>_NWW,Ve~xWfUiW>?R_`fg[35XG\^#=>'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY1;VE^X%?;)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU=?RAZT)35-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;=POTV+52/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xWfUiW>?R_`fg[35XG\^#><'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY1;VE^X%<=)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU=?RAZT)07-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;=POTV+60/1n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#=j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 GOF\7Ve~xWfUiW>?R_`fg[35XG\^#>4'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY1;VE^X%=?)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU=?RAZT)11-3`PQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;=POTV+76/1m2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP62]LQQ.1!?o0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"IAD^1:[jYeS:;VSljk_71\KPR/? sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z02WF__$2(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV<>SB[[(01*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT:8Q@UU*20,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR8:_NWW,43.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP64]LQQ.6> ]^cg`Z02WF__$<7&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX>?R_eku[=)@FMU85RaPbZ12YZgclV<>SB[[(33*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT:8Q@UU*16,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR8:_NWW,75.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP64]LQQ.5< sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z02WF__$?9&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX>?R_eku[=)@FMU85RaPbZ12YZgclV<>SB[[(3;*2c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT:8Q@UU*04,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR8:_NWW,67.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP64]LQQ.4: sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?Ve~xWfUiW>?R_`fg[33XG\^#9$8j;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-DJAY41VeTnV=>]^cg`Z02WF__$;'9e:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY1=VE^X%9&6d9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX>?R_eku[=)@FMU85RaPbZ12YZgclV<7Po^`X74[XimnT::Q@UU*25,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR88_NWW,44.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP66]LQQ.6; ":k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z00WF__$<8&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX>>UDYY&>7(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV<7Po^`X74[XimnT::Q@UU*2=,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR88_NWW,76.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP66]LQQ.59 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/FLG[6?XgVhP?]^cg`Z00WF__$?:&6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+BHCW:3TcRlT30_\eabX>>UDYY&=5(4e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)@FMU85RaPbZ12YZgclV<7Po^`X74[XimnT::Q@UU*13,0a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR88_NWW,7>.>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP66]LQQ.51 Ve~xWfUiW>?R_`fg[31XG\^#?<'9f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,CKBX;0UdSoU<1\]b`aY1?VE^X%==)7d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(OGNT?4Q`_cY05XYflmU=;RAZT)6*2`=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'NDOS>7Po^`X74[XimnT::Q@UU*6-3cPQ|pdgg[fhsWzclbhjT30_\`lpX0&MEHR=6_n]a_67ZWhnoS;9POTV+2,0b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%LBIQ<9^m\f^56UVkohR88_NWW,2/1m2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>.>l1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#J@K_2;\kZd\;8WTmijP66]LQQ.>!>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTNX]P0122[dbcW>>TCXZT3583YZe~ 9#3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRLZS^2344YflmU<8RAZTZ17>5[Xkp";%R|{7g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]AQVY789;TmijP75]LQQ]4<3:VSnw'1(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[GSTW9:;=Rokd^57[JSSS:>1PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^az,47.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUIY^Q?013\eabX?=UDYYU<4;2^[f/9;#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRLZS^2344YflmU<8RAZTZ17>5[Xkp":?$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_CWP[5679VkohR9;_NWW_62=8TUhu%?;)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?R_b{+53/?82hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?00]b`aY04bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[GSTW9:;=Rokd^57[JSSS:>1PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^az,77.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUIY^Q?013\eabX?=UDYYU<4;2^[f/:;#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRLZS^2344YflmU<8RAZTZ17>5[Xkp"9?$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_CWP[5679VkohR9;_NWW_62=8TUhu%<;)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?R_b{+63/?82hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?00]b`aY04bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[GSTW9:;=Rokd^57[JSSS:>1PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^az,67.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUIY^Q?013\eabX?=UDYYU<4;2^[f/;;#5[Xkp"?%:h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^@VWZ6788UjhiQ84^MVP^5329WTot&9)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZDR[V:;<PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^az,?00]b`aY0Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^zlv-75!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTNX]P0122[dbcW>>TCXZT3583YZ~hz!;8%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^zlv-71!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTNX]P0122[dbcW>>TCXZT3583YZ~hz!;<%5>4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZDR[V:;<?00]b`aY05[Xpfx#?>'70:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^@VWZ6788UjhiQ84^MVP^5329WTtb|'4(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[GSTW9:;=Rokd^57[JSSS:>1PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXJ\YT<=>>_`fg[22XG\^P?94?]^zlv-0.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUIY^Q?013\eabX?=UDYYU<4;2^[}iu >#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRLZS^2344YflmU<8RAZTZ17>5[Xpfx#4$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_CWP[5679VkohR9;_NWW_62=8TUsc&6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQcuu*06,>43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW89TCXZPM`fg[HgclVir$><&829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS<=POTV\IdbcWDkohRmv(20*54>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7?&1908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW8?TCXZPM`fg[HgclVir$>>&829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS<;POTV\IdbcWDkohRmv(22*54>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$?>809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS<9POTV\IdbcWDkohRmv(3:*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_05\KPRXEhnoS@okd^az,7?.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[41XG\^TAljk_Lcg`Ze~ ::"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW8=TCXZPM`fg[HgclVir$>?&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS<9POTV\IdbcWDkohRmv(20*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_05\KPRXEhnoS@okd^nvp-4?!190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z70WF__S@okd^Ob`aYk}}"94$?72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT=:Q@UU]NeabXEhnoSa{{(3;*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_05\KPRXEhnoS@okd^nvp-57!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z70WF__S@okd^Ob`aYk}}"8=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU:;RAZT^Ob`aYJimnT`xz'33+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP16]LQQYJimnTAljk_mww,65.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[41XG\^TAljk_Lcg`Z~hz!83%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ>7^MVPZKflmUFmijPxnp+75/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW8=TCXZPM`fg[HgclVrd~%==)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU:5RAZT^Ob`aYJimnTot&=9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ>9^MVPZKflmUFmijPcx*04,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU:5RAZT^Ob`aYJimnT`xz'26+;7>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP18]LQQYJimnTAljk_mww,71.9180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z7>WF__S@okd^Ob`aYk}}"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU:5RAZT^Ob`aYJimnT`xz'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP18]LQQYJimnTAljk_mww,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[4?XG\^TAljk_Lcg`Zjr|!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ>9^MVPZKflmUFmijPltv+76/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS<7POTV\IdbcWDkohRv`r)11-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^3:[JSSWDkohRCnde]{kw.4; 2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY59VE^XRCnde]NeabXkp"9:$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU9=RAZT^Ob`aYJimnTot&=7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=1^MVPZKflmUFmijPcx*1<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU9=RAZT^Ob`aYJimnTot&<1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=1^MVPZKflmUFmijPcx*06,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7_NWW[HgclVGjhiQcuu*13,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX:8UDYYQBaef\IdbcWe$?7&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS??POTV\IdbcWDkohRbzt)13-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^02[JSSWDkohRCnde]oqq.49 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY59VE^XRCnde]NeabXd|~#??'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT>]^cg`Z46WF__S@okd^Ob`aYg{"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU9=RAZT^Ob`aYJimnTtb|'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP20]LQQYJimnTAljk_ymq,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[77XG\^TAljk_Lcg`Z~hz!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=1^MVPZKflmUFmijPxnp+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;9TCXZPM`fg[HgclVir$?;&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?=POTV\IdbcWDkohRmv(34*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_31\KPRXEhnoS@okd^az,71.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[75XG\^TAljk_Lcg`Ze~ ;2"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;9TCXZPM`fg[HgclVir$?7&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?=POTV\IdbcWDkohRmv(22*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_31\KPRXEhnoS@okd^az,67.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[75XG\^TAljk_Lcg`Ze~ :8"4?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;9TCXZPM`fg[HgclVf~x%<:)918fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP? sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;9TCXZPM`fg[HgclVf~x%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX::UDYYQBaef\IdbcWe$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?=POTV\IdbcWDkohRbzt)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^00[JSSWDkohRCnde]oqq.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY5;VE^XRCnde]NeabXd|~#?>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT>>Q@UU]NeabXEhnoSua}(37*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_31\KPRXEhnoS@okd^zlv-41!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z44WF__S@okd^Ob`aYg{"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU9?RAZT^Ob`aYJimnTtb|'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP22]LQQYJimnTAljk_ymq,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[75XG\^TAljk_Lcg`Z~hz!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=3^MVPZKflmUFmijPxnp+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;?TCXZPM`fg[HgclVir$?;&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?;POTV\IdbcWDkohRmv(34*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_37\KPRXEhnoS@okd^az,71.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[73XG\^TAljk_Lcg`Ze~ ;2"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;?TCXZPM`fg[HgclVir$?7&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?;POTV\IdbcWDkohRmv(22*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_37\KPRXEhnoS@okd^az,67.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[73XG\^TAljk_Lcg`Ze~ :8"4?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;?TCXZPM`fg[HgclVf~x%<;)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX:PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^06[JSSWDkohRCnde]oqq.51 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY5=VE^XRCnde]NeabXd|~#?='72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT>8Q@UU]NeabXEhnoSa{{(23*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_37\KPRXEhnoS@okd^nvp-55!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z42WF__S@okd^Ob`aYk}}"8?$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU99RAZT^Ob`aYJimnTtb|'25+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP24]LQQYJimnTAljk_ymq,73.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[73XG\^TAljk_Lcg`Z~hz!8=%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&=7(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=5^MVPZKflmUFmijPxnp+6=/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;?TCXZPM`fg[HgclVrd~%=?)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX:=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?9POTV\IdbcWDkohRmv(31*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_35\KPRXEhnoS@okd^az,72.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[71XG\^TAljk_Lcg`Ze~ ;?"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;=TCXZPM`fg[HgclVir$?8&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?9POTV\IdbcWDkohRmv(35*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_35\KPRXEhnoS@okd^az,7>.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[71XG\^TAljk_Lcg`Ze~ ;3"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;=TCXZPM`fg[HgclVir$>>&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?9POTV\IdbcWDkohRmv(23*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_35\KPRXEhnoS@okd^az,64.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[71XG\^TAljk_Lcg`Zjr|!88%5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP26]LQQYJimnTAljk_mww,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[71XG\^TAljk_Lcg`Zjr|!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=7^MVPZKflmUFmijPltv+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;=TCXZPM`fg[HgclVf~x%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX:>UDYYQBaef\IdbcWe$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?9POTV\IdbcWDkohRbzt)10-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^04[JSSWDkohRCnde]{kw.5; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY5?VE^XRCnde]NeabXpfx#>9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT>:Q@UU]NeabXEhnoSua}(37*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_35\KPRXEhnoS@okd^zlv-41!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z40WF__S@okd^Ob`aYg{"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU9;RAZT^Ob`aYJimnTtb|'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP26]LQQYJimnTAljk_ymq,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[71XG\^TAljk_Lcg`Z~hz!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=7^MVPZKflmUFmijPxnp+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;3TCXZPM`fg[HgclVir$?=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?7POTV\IdbcWDkohRmv(36*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_3;\KPRXEhnoS@okd^az,73.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[7?XG\^TAljk_Lcg`Ze~ ;<"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;3TCXZPM`fg[HgclVir$?9&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?7POTV\IdbcWDkohRmv(3:*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_3;\KPRXEhnoS@okd^az,7?.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[7?XG\^TAljk_Lcg`Ze~ ::"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;3TCXZPM`fg[HgclVir$>?&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?7POTV\IdbcWDkohRmv(20*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_3;\KPRXEhnoS@okd^nvp-44!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z4>WF__S@okd^Ob`aYk}}"98$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU95RAZT^Ob`aYJimnT`xz'24+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP28]LQQYJimnTAljk_mww,70.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[7?XG\^TAljk_Lcg`Zjr|!8<%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=9^MVPZKflmUFmijPltv+6 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW;3TCXZPM`fg[HgclVf~x%=>)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX:0UDYYQBaef\IdbcWqey$?<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS?7POTV\IdbcWDkohRv`r)00-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^0:[JSSWDkohRCnde]{kw.5< 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY51VE^XRCnde]NeabXpfx#>8'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT>4Q@UU]NeabXEhnoSua}(34*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_3;\KPRXEhnoS@okd^zlv-40!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z4>WF__S@okd^Ob`aYg{"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU95RAZT^Ob`aYJimnTtb|'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP28]LQQYJimnTAljk_ymq,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[7?XG\^TAljk_Lcg`Z~hz!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ=9^MVPZKflmUFmijPxnp+76/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>>'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP30]LQQYJimnTAljk_b{+60/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>:'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP30]LQQYJimnTAljk_b{+6_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP30]LQQYJimnTAljk_mww,74.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[67XG\^TAljk_Lcg`Zjr|!88%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<1^MVPZKflmUFmijPltv+60/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:;TCXZPM`fg[HgclVf~x%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQcuu*1<,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;8UDYYQBaef\IdbcWe$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>?POTV\IdbcWDkohRbzt)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^12[JSSWDkohRCnde]oqq.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY49VE^XRCnde]NeabXd|~#?>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?]^cg`Z56WF__S@okd^Ob`aYg{"9?$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU8=RAZT^Ob`aYJimnTtb|'25+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP30]LQQYJimnTAljk_ymq,73.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[67XG\^TAljk_Lcg`Z~hz!8=%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<1^MVPZKflmUFmijPxnp+6=/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:;TCXZPM`fg[HgclVrd~%=?)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQwos*05,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;8UDYYQBaef\IdbcWqey$>=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>=POTV\IdbcWDkohRmv(33*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_21\KPRXEhnoS@okd^az,74.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[65XG\^TAljk_Lcg`Ze~ ;9"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:9TCXZPM`fg[HgclVir$?:&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>=POTV\IdbcWDkohRmv(37*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_21\KPRXEhnoS@okd^az,70.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[65XG\^TAljk_Lcg`Ze~ ;="4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:9TCXZPM`fg[HgclVir$?6&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>=POTV\IdbcWDkohRmv(3;*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_21\KPRXEhnoS@okd^az,66.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[65XG\^TAljk_Lcg`Ze~ :;"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:9TCXZPM`fg[HgclVir$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>=POTV\IdbcWDkohRbzt)02-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^10[JSSWDkohRCnde]oqq.5: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4;VE^XRCnde]NeabXd|~#>>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?>Q@UU]NeabXEhnoSa{{(36*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_21\KPRXEhnoS@okd^nvp-42!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z54WF__S@okd^Ob`aYk}}"9:$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU8?RAZT^Ob`aYJimnT`xz'26+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP32]LQQYJimnTAljk_mww,7>.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[65XG\^TAljk_Lcg`Zjr|!82%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<3^MVPZKflmUFmijPltv+74/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:9TCXZPM`fg[HgclVf~x%=<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;:UDYYQBaef\IdbcWqey$?<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>=POTV\IdbcWDkohRv`r)00-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^10[JSSWDkohRCnde]{kw.5< 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4;VE^XRCnde]NeabXpfx#>8'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?>Q@UU]NeabXEhnoSua}(34*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_21\KPRXEhnoS@okd^zlv-40!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z54WF__S@okd^Ob`aYg{"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU8?RAZT^Ob`aYJimnTtb|'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP32]LQQYJimnTAljk_ymq,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[65XG\^TAljk_Lcg`Z~hz!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<3^MVPZKflmUFmijPxnp+76/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW><'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?8Q@UU]NeabXEhnoSnw'23+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP34]LQQYJimnTAljk_b{+66/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>8'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?8Q@UU]NeabXEhnoSnw'27+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP34]LQQYJimnTAljk_b{+62/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>4'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?8Q@UU]NeabXEhnoSnw'31+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP34]LQQYJimnTAljk_b{+74/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>;POTV\IdbcWDkohRbzt)02-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^16[JSSWDkohRCnde]oqq.5: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4=VE^XRCnde]NeabXd|~#>>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?8Q@UU]NeabXEhnoSa{{(36*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_27\KPRXEhnoS@okd^nvp-42!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z52WF__S@okd^Ob`aYk}}"9:$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU89RAZT^Ob`aYJimnT`xz'26+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP34]LQQYJimnTAljk_mww,7>.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[63XG\^TAljk_Lcg`Zjr|!82%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUgyy&<0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<5^MVPZKflmUFmijPltv+74/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:?TCXZPM`fg[HgclVf~x%=<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;;POTV\IdbcWDkohRv`r)01-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^16[JSSWDkohRCnde]{kw.5; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4=VE^XRCnde]NeabXpfx#>9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?8Q@UU]NeabXEhnoSua}(37*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_27\KPRXEhnoS@okd^zlv-41!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z52WF__S@okd^Ob`aYg{"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU89RAZT^Ob`aYJimnTtb|'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP34]LQQYJimnTAljk_ymq,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[63XG\^TAljk_Lcg`Z~hz!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&<1(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<5^MVPZKflmUFmijPxnp+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVir$<7&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRmv(32*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_25\KPRXEhnoS@okd^az,77.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[61XG\^TAljk_Lcg`Ze~ ;8"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVir$?=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRmv(36*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_25\KPRXEhnoS@okd^az,73.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[61XG\^TAljk_Lcg`Ze~ ;<"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVir$?9&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRmv(3:*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_25\KPRXEhnoS@okd^az,7?.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[61XG\^TAljk_Lcg`Ze~ ::"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVir$>?&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRmv(20*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_25\KPRXEhnoS@okd^nvp-7>!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z50WF__S@okd^Ob`aYk}}"9<$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU8;RAZT^Ob`aYJimnT`xz'20+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP36]LQQYJimnTAljk_mww,74.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[61XG\^TAljk_Lcg`Zjr|!88%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<7^MVPZKflmUFmijPltv+60/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVf~x%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;>UDYYQBaef\IdbcWe$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRbzt)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^14[JSSWDkohRCnde]oqq.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4?VE^XRCnde]NeabXd|~#?>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?:Q@UU]NeabXEhnoSua}(0:*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_25\KPRXEhnoS@okd^zlv-7>!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z50WF__S@okd^Ob`aYg{"9<$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU8;RAZT^Ob`aYJimnTtb|'20+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP36]LQQYJimnTAljk_ymq,74.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[61XG\^TAljk_Lcg`Z~hz!88%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<7^MVPZKflmUFmijPxnp+60/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:=TCXZPM`fg[HgclVrd~%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;>UDYYQBaef\IdbcWqey$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>9POTV\IdbcWDkohRv`r)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^14[JSSWDkohRCnde]{kw.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY4?VE^XRCnde]NeabXpfx#?>'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSnw'16+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_b{+5=/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>='71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSnw'20+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_b{+67/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>9'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSnw'24+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_b{+63/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#3=6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;0UDYYQBaef\IdbcWjs#>5'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSnw'28+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_b{+75/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSa{{(05*<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_2;\KPRXEhnoS@okd^nvp-70!8297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY41VE^XRCnde]NeabXd|~#=5'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSa{{(0;*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_2;\KPRXEhnoS@okd^nvp-47!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z5>WF__S@okd^Ob`aYk}}"9=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU85RAZT^Ob`aYJimnT`xz'23+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_mww,75.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[6?XG\^TAljk_Lcg`Zjr|!8?%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<9^MVPZKflmUFmijPltv+63/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>7POTV\IdbcWDkohRbzt)11-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^1:[JSSWDkohRCnde]oqq.4; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY41VE^XRCnde]NeabXpfx#=:'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT?4Q@UU]NeabXEhnoSua}(0:*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_2;\KPRXEhnoS@okd^zlv-7>!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z5>WF__S@okd^Ob`aYg{"9<$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU85RAZT^Ob`aYJimnTtb|'20+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP38]LQQYJimnTAljk_ymq,74.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[6?XG\^TAljk_Lcg`Z~hz!88%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ<9^MVPZKflmUFmijPxnp+60/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW:3TCXZPM`fg[HgclVrd~%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX;0UDYYQBaef\IdbcWqey$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS>7POTV\IdbcWDkohRv`r)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^1:[JSSWDkohRCnde]{kw.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY41VE^XRCnde]NeabXpfx#?>'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_b{+5=/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>='71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_b{+67/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>9'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_b{+63/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>#3=6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<8UDYYQBaef\IdbcWjs#>5'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_b{+75/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8]^cg`Z26WF__S@okd^Ob`aYk}}":5$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?=RAZT^Ob`aYJimnT`xz'21+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_mww,77.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[17XG\^TAljk_Lcg`Zjr|!89%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:SB[[_Lcg`ZKflmUgyy&=3(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;1^MVPZKflmUFmijPltv+61/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=;TCXZPM`fg[HgclVf~x%<9)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQcuu*13,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<8UDYYQBaef\IdbcWe$?7&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9?POTV\IdbcWDkohRbzt)13-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^62[JSSWDkohRCnde]oqq.49 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY39VE^XRCnde]NeabXd|~#??'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8]^cg`Z26WF__S@okd^Ob`aYg{":;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?=RAZT^Ob`aYJimnTtb|'19+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP40]LQQYJimnTAljk_ymq,4?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[17XG\^TAljk_Lcg`Z~hz!8;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:SB[[_Lcg`ZKflmUsc&=1(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;1^MVPZKflmUFmijPxnp+67/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=;TCXZPM`fg[HgclVrd~%<;)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQwos*11,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<8UDYYQBaef\IdbcWqey$?9&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9?POTV\IdbcWDkohRv`r)0;-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^62[JSSWDkohRCnde]{kw.51 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY39VE^XRCnde]NeabXpfx#?='72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8]^cg`Z26WF__S@okd^Ob`aYg{"8?$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTot&>5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPcx*22,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTot&>9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPcx*14,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTot&=3(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPcx*10,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTot&=7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPcx*1<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTot&<1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPcx*06,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\753kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7#3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<:UDYYQBaef\IdbcWe$<6&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9=POTV\IdbcWDkohRbzt)3:-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^60[JSSWDkohRCnde]oqq.58 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3;VE^XRCnde]NeabXd|~#><'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8>Q@UU]NeabXEhnoSa{{(30*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_51\KPRXEhnoS@okd^nvp-44!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z24WF__S@okd^Ob`aYk}}"98$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnT`xz'24+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP42]LQQYJimnTAljk_mww,70.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[15XG\^TAljk_Lcg`Zjr|!8<%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x8SB[[_Lcg`ZKflmUgyy&=8(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPltv+6 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=9TCXZPM`fg[HgclVf~x%=>)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<:UDYYQBaef\IdbcWqey$<;&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9=POTV\IdbcWDkohRv`r)35-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^60[JSSWDkohRCnde]{kw.6? 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3;VE^XRCnde]NeabXpfx#=5'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8>Q@UU]NeabXEhnoSua}(0;*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_51\KPRXEhnoS@okd^zlv-47!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z24WF__S@okd^Ob`aYg{"9=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU??RAZT^Ob`aYJimnTtb|'23+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP42]LQQYJimnTAljk_ymq,75.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[15XG\^TAljk_Lcg`Z~hz!8?%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x8SB[[_Lcg`ZKflmUsc&=5(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;3^MVPZKflmUFmijPxnp+63/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9=POTV\IdbcWDkohRv`r)11-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^60[JSSWDkohRCnde]{kw.4; 2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3=VE^XRCnde]NeabXkp":9$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTot&>6(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPcx*23,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTot&=0(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPcx*15,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTot&=4(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPcx*11,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7 2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3=VE^XRCnde]NeabXkp"9;$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTot&=8(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPcx*1=,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTot&<2(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPltv+50/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=?TCXZPM`fg[HgclVf~x%?8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9;POTV\IdbcWDkohRbzt)02-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^66[JSSWDkohRCnde]oqq.5: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3=VE^XRCnde]NeabXd|~#>>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT88Q@UU]NeabXEhnoSa{{(36*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_57\KPRXEhnoS@okd^nvp-42!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z22WF__S@okd^Ob`aYk}}"9:$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnT`xz'26+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP44]LQQYJimnTAljk_mww,7>.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[13XG\^TAljk_Lcg`Zjr|!82%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x>SB[[_Lcg`ZKflmUgyy&<0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPltv+74/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=?TCXZPM`fg[HgclVf~x%=<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^66[JSSWDkohRCnde]{kw.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3=VE^XRCnde]NeabXpfx#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT88Q@UU]NeabXEhnoSua}(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_57\KPRXEhnoS@okd^zlv-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z22WF__S@okd^Ob`aYg{"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?9RAZT^Ob`aYJimnTtb|'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP44]LQQYJimnTAljk_ymq,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[13XG\^TAljk_Lcg`Z~hz!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x>SB[[_Lcg`ZKflmUsc&=6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;5^MVPZKflmUFmijPxnp+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=?TCXZPM`fg[HgclVrd~%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS9;POTV\IdbcWDkohRv`r)10-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]`}-73!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYdq!;>%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]`}-7?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYdq!;2%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]`}-45!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYdq!88%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]`}-41!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYdq!8<%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]`}-57!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYdq!9:%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<>UDYYQBaef\IdbcWe$<8&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS99POTV\IdbcWDkohRbzt)34-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]oqq.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3?VE^XRCnde]NeabXd|~#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8:Q@UU]NeabXEhnoSa{{(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_55\KPRXEhnoS@okd^nvp-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYk}}"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?;RAZT^Ob`aYJimnT`xz'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP46]LQQYJimnTAljk_mww,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[11XG\^TAljk_Lcg`Zjr|!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;7^MVPZKflmUFmijPltv+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW==TCXZPM`fg[HgclVf~x%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<>UDYYQBaef\IdbcWe$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS99POTV\IdbcWDkohRbzt)10-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]{kw.6; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3?VE^XRCnde]NeabXpfx#=9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8:Q@UU]NeabXEhnoSua}(07*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_55\KPRXEhnoS@okd^zlv-71!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYg{":;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?;RAZT^Ob`aYJimnTtb|'19+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP46]LQQYJimnTAljk_ymq,4?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[11XG\^TAljk_Lcg`Z~hz!8;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;7^MVPZKflmUFmijPxnp+67/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW==TCXZPM`fg[HgclVrd~%<;)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<>UDYYQBaef\IdbcWqey$?9&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS99POTV\IdbcWDkohRv`r)0;-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^64[JSSWDkohRCnde]{kw.51 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY3?VE^XRCnde]NeabXpfx#?='72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT8:Q@UU]NeabXEhnoSua}(23*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_55\KPRXEhnoS@okd^zlv-55!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z20WF__S@okd^Ob`aYg{"8?$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTot&>3(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPcx*20,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTot&>7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPcx*2<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTot&=1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPcx*16,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTot&=5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPcx*12,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTot&=9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPcx*04,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnT`xz'12+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP48]LQQYJimnTAljk_mww,42.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[1?XG\^TAljk_Lcg`Zjr|!;>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x2SB[[_Lcg`ZKflmUgyy&>6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPltv+52/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=3TCXZPM`fg[HgclVf~x%?6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<0UDYYQBaef\IdbcWe$?<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS97POTV\IdbcWDkohRbzt)00-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^6:[JSSWDkohRCnde]oqq.5< 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY31VE^XRCnde]NeabXd|~#>8'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT84Q@UU]NeabXEhnoSa{{(34*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_5;\KPRXEhnoS@okd^nvp-40!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z2>WF__S@okd^Ob`aYk}}"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnT`xz'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP48]LQQYJimnTAljk_mww,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[1?XG\^TAljk_Lcg`Zjr|!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x2SB[[_Lcg`ZKflmUgyy&<2(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPltv+76/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=3TCXZPM`fg[HgclVrd~%?<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<0UDYYQBaef\IdbcWqey$<8&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS97POTV\IdbcWDkohRv`r)34-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^6:[JSSWDkohRCnde]{kw.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY31VE^XRCnde]NeabXpfx#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT84Q@UU]NeabXEhnoSua}(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_5;\KPRXEhnoS@okd^zlv-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z2>WF__S@okd^Ob`aYg{"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU?5RAZT^Ob`aYJimnTtb|'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP48]LQQYJimnTAljk_ymq,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[1?XG\^TAljk_Lcg`Z~hz!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x2SB[[_Lcg`ZKflmUsc&=6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ;9^MVPZKflmUFmijPxnp+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW=3TCXZPM`fg[HgclVrd~%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX<0UDYYQBaef\IdbcWqey$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS97POTV\IdbcWDkohRv`r)10-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-75!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYdq!;8%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)36-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-71!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYdq!;<%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)3:-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-47!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYdq!8:%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)00-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-43!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYdq!8>%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)04-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-4?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYdq!82%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)12-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]`}-55!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z36WF__S@okd^Ob`aYk}}":>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>=RAZT^Ob`aYJimnT`xz'12+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP50]LQQYJimnTAljk_mww,42.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[07XG\^TAljk_Lcg`Zjr|!;>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:1^MVPZKflmUFmijPltv+52/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<;TCXZPM`fg[HgclVf~x%?6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQcuu*14,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=8UDYYQBaef\IdbcWe$?<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8?POTV\IdbcWDkohRbzt)00-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]oqq.5< 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY29VE^XRCnde]NeabXd|~#>8'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9]^cg`Z36WF__S@okd^Ob`aYk}}"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>=RAZT^Ob`aYJimnT`xz'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP50]LQQYJimnTAljk_mww,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[07XG\^TAljk_Lcg`Zjr|!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:1^MVPZKflmUFmijPltv+76/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<;TCXZPM`fg[HgclVrd~%?=)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQwos*27,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=8UDYYQBaef\IdbcWqey$<;&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8?POTV\IdbcWDkohRv`r)35-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]{kw.6? 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY29VE^XRCnde]NeabXpfx#=5'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9]^cg`Z36WF__S@okd^Ob`aYg{"9=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>=RAZT^Ob`aYJimnTtb|'23+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP50]LQQYJimnTAljk_ymq,75.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[07XG\^TAljk_Lcg`Z~hz!8?%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:1^MVPZKflmUFmijPxnp+63/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8?POTV\IdbcWDkohRv`r)11-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^72[JSSWDkohRCnde]{kw.4; 2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2;VE^XRCnde]NeabXkp":<$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&>1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*26,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&>5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*22,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&>9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*14,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&=3(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*10,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&=7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*1<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTot&<1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPcx*06,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7&1908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=:UDYYQBaef\IdbcWe$<=&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8=POTV\IdbcWDkohRbzt)37-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^70[JSSWDkohRCnde]oqq.6= 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2;VE^XRCnde]NeabXd|~#=;'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9>Q@UU]NeabXEhnoSa{{(05*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_41\KPRXEhnoS@okd^nvp-7?!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z34WF__S@okd^Ob`aYk}}":5$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnT`xz'21+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP52]LQQYJimnTAljk_mww,77.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[05XG\^TAljk_Lcg`Zjr|!89%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPltv+61/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<9TCXZPM`fg[HgclVf~x%<9)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=:UDYYQBaef\IdbcWe$?7&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8=POTV\IdbcWDkohRbzt)13-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^70[JSSWDkohRCnde]oqq.49 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2;VE^XRCnde]NeabXd|~#??'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9>Q@UU]NeabXEhnoSa{{(21*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_41\KPRXEhnoS@okd^zlv-77!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z34WF__S@okd^Ob`aYg{":=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTtb|'13+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP52]LQQYJimnTAljk_ymq,45.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[05XG\^TAljk_Lcg`Z~hz!;?%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x5(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPxnp+53/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^70[JSSWDkohRCnde]{kw.5; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2;VE^XRCnde]NeabXpfx#>9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9>Q@UU]NeabXEhnoSua}(37*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_41\KPRXEhnoS@okd^zlv-41!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z34WF__S@okd^Ob`aYg{"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>?RAZT^Ob`aYJimnTtb|'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP52]LQQYJimnTAljk_ymq,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[05XG\^TAljk_Lcg`Z~hz!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:3^MVPZKflmUFmijPxnp+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8;POTV\IdbcWDkohRmv(03*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^az,44.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ 89"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcWWfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ 8="4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcWWfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ ;;"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcWWfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ ;?"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ ;3"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW>&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8;POTV\IdbcWDkohRmv(23*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^az,64.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[03XG\^TAljk_Lcg`Ze~ 0#3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS8;POTV\IdbcWDkohRbzt)32-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^76[JSSWDkohRCnde]oqq.6: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2=VE^XRCnde]NeabXd|~#=>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT98Q@UU]NeabXEhnoSa{{(06*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^nvp-72!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z32WF__S@okd^Ob`aYk}}"::$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>9RAZT^Ob`aYJimnT`xz'16+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP54]LQQYJimnTAljk_mww,4>.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[03XG\^TAljk_Lcg`Zjr|!;2%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUgyy&=0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:5^MVPZKflmUFmijPltv+64/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^76[JSSWDkohRCnde]oqq.50 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2=VE^XRCnde]NeabXd|~#>4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT98Q@UU]NeabXEhnoSa{{(22*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^nvp-56!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z32WF__S@okd^Ob`aYk}}"8>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>9RAZT^Ob`aYJimnT`xz'32+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP54]LQQYJimnTAljk_mww, sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^76[JSSWDkohRCnde]{kw.6= 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2=VE^XRCnde]NeabXpfx#=;'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT98Q@UU]NeabXEhnoSua}(05*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^zlv-7?!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z32WF__S@okd^Ob`aYg{":5$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>9RAZT^Ob`aYJimnTtb|'21+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP54]LQQYJimnTAljk_ymq,77.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[03XG\^TAljk_Lcg`Z~hz!89%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&=3(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:5^MVPZKflmUFmijPxnp+61/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^76[JSSWDkohRCnde]{kw.49 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2=VE^XRCnde]NeabXpfx#??'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT98Q@UU]NeabXEhnoSua}(21*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_47\KPRXEhnoS@okd^zlv-?.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ 8:"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ 8>"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$<;&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRmv(04*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^az,41.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ 82"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$<7&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRmv(32*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^az,77.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ ;8"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$?=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRmv(36*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^az,73.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ ;<"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$?9&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRmv(3:*<4=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^az,7?.081i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Ze~ ::"4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVir$>?&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRmv(20*<5=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^az,=/?82hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9:Q@UU]NeabXEhnoSa{{(03*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^nvp-75!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z30WF__S@okd^Ob`aYk}}":?$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>;RAZT^Ob`aYJimnT`xz'15+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP56]LQQYJimnTAljk_mww,43.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Zjr|!;=%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x7(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:7^MVPZKflmUFmijPltv+5=/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVf~x%53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=>UDYYQBaef\IdbcWe$?=&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRbzt)07-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^74[JSSWDkohRCnde]oqq.5= 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2?VE^XRCnde]NeabXd|~#>;'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9:Q@UU]NeabXEhnoSa{{(35*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^nvp-4?!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z30WF__S@okd^Ob`aYk}}"95$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>;RAZT^Ob`aYJimnT`xz'31+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP56]LQQYJimnTAljk_mww,67.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Zjr|!99%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:7^MVPZKflmUFmijPltv+<,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7UDYYQBaef\IdbcWe$4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9:Q@UU]NeabXEhnoSua}(02*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^zlv-76!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z30WF__S@okd^Ob`aYg{":>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>;RAZT^Ob`aYJimnTtb|'12+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP56]LQQYJimnTAljk_ymq,42.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Z~hz!;>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:7^MVPZKflmUFmijPxnp+52/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<=TCXZPM`fg[HgclVrd~%?6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=>UDYYQBaef\IdbcWqey$?<&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS89POTV\IdbcWDkohRv`r)00-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^74[JSSWDkohRCnde]{kw.5< 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY2?VE^XRCnde]NeabXpfx#>8'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT9:Q@UU]NeabXEhnoSua}(34*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_45\KPRXEhnoS@okd^zlv-40!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z30WF__S@okd^Ob`aYg{"94$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>;RAZT^Ob`aYJimnTtb|'28+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP56]LQQYJimnTAljk_ymq,66.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[01XG\^TAljk_Lcg`Z~hz!9:%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:7^MVPZKflmUFmijPxnp+76/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>UDYYQBaef\IdbcWqey$4'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'11+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+54/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'15+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+50/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'19+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+5<'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'23+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+66/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>8'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'27+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+62/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>4'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSnw'31+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_b{+74/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>5RAZT^Ob`aYJimnTot&7)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?]^cg`Z3>WF__S@okd^Ob`aYk}}":=$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>5RAZT^Ob`aYJimnT`xz'13+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_mww,45.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[0?XG\^TAljk_Lcg`Zjr|!;?%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x5(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:9^MVPZKflmUFmijPltv+53/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^7:[JSSWDkohRCnde]oqq.5; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY21VE^XRCnde]NeabXd|~#>9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSa{{(37*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_4;\KPRXEhnoS@okd^nvp-41!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z3>WF__S@okd^Ob`aYk}}"9;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>5RAZT^Ob`aYJimnT`xz'29+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_mww,7?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[0?XG\^TAljk_Lcg`Zjr|!9;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:9^MVPZKflmUFmijPltv+77/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<3TCXZPM`fg[HgclVf~x%9&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS87POTV\IdbcWDkohRbzt)5*5=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^7:[JSSWDkohRCnde]oqq.?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z3>WF__S@okd^Ob`aYk}}"2%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:9^MVPZKflmUFmijPxnp+54/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<3TCXZPM`fg[HgclVrd~%?<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=0UDYYQBaef\IdbcWqey$<8&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS87POTV\IdbcWDkohRv`r)34-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^7:[JSSWDkohRCnde]{kw.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY21VE^XRCnde]NeabXpfx#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT94Q@UU]NeabXEhnoSua}(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_4;\KPRXEhnoS@okd^zlv-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z3>WF__S@okd^Ob`aYg{"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU>5RAZT^Ob`aYJimnTtb|'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP58]LQQYJimnTAljk_ymq,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[0?XG\^TAljk_Lcg`Z~hz!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ:9^MVPZKflmUFmijPxnp+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW<3TCXZPM`fg[HgclVrd~%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX=0UDYYQBaef\IdbcWqey$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS87POTV\IdbcWDkohRv`r)10-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^7:[JSSWDkohRCnde]{kw.0!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z3>WF__S@okd^Ob`aYg{"3%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)33-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-76!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!;9%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)37-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-72!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!;=%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)3;-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-7>!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!8;%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x)938fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQly)01-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-44!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!8?%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)05-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-40!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!83%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_NWW[HgclVGjhiQly)13-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]`}-56!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z06WF__S@okd^Ob`aYdq!99%5>4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP60]LQQYJimnTAljk_b{+=,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>8UDYYQBaef\IdbcWe$PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]oqq.6; 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY19VE^XRCnde]NeabXd|~#=9'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:]^cg`Z06WF__S@okd^Ob`aYk}}":;$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU==RAZT^Ob`aYJimnT`xz'19+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP60]LQQYJimnTAljk_mww,4?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[37XG\^TAljk_Lcg`Zjr|!8;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ91^MVPZKflmUFmijPltv+67/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?;TCXZPM`fg[HgclVf~x%<;)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_NWW[HgclVGjhiQcuu*11,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>8UDYYQBaef\IdbcWe$?9&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;?POTV\IdbcWDkohRbzt)0;-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]oqq.51 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY19VE^XRCnde]NeabXd|~#?='72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:]^cg`Z06WF__S@okd^Ob`aYk}}"8?$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU==RAZT^Ob`aYJimnT`xz'7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ91^MVPZKflmUFmijPltv+<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:]^cg`Z06WF__S@okd^Ob`aYg{":?$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU==RAZT^Ob`aYJimnTtb|'15+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP60]LQQYJimnTAljk_ymq,43.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[37XG\^TAljk_Lcg`Z~hz!;=%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x7(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ91^MVPZKflmUFmijPxnp+5=/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?;TCXZPM`fg[HgclVrd~%_NWW[HgclVGjhiQwos*15,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>8UDYYQBaef\IdbcWqey$?=&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;?POTV\IdbcWDkohRv`r)07-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^42[JSSWDkohRCnde]{kw.5= 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY19VE^XRCnde]NeabXpfx#>;'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:]^cg`Z06WF__S@okd^Ob`aYg{"95$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU==RAZT^Ob`aYJimnTtb|'31+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP60]LQQYJimnTAljk_ymq,67.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[37XG\^TAljk_Lcg`Z~hz!99%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ91^MVPZKflmUFmijPxnp+2,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU==RAZT^Ob`aYJimnTtb|'9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*24,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&>3(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*20,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&>7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*2<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&=1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*16,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&=5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*12,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&=9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPcx*04,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTot&:)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPltv+54/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?9TCXZPM`fg[HgclVf~x%?<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>:UDYYQBaef\IdbcWe$<8&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;=POTV\IdbcWDkohRbzt)34-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^40[JSSWDkohRCnde]oqq.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1;VE^XRCnde]NeabXd|~#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:>Q@UU]NeabXEhnoSa{{(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_71\KPRXEhnoS@okd^nvp-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z04WF__S@okd^Ob`aYk}}"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnT`xz'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP62]LQQYJimnTAljk_mww,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[35XG\^TAljk_Lcg`Zjr|!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPltv+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?9TCXZPM`fg[HgclVf~x%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>:UDYYQBaef\IdbcWe$><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;=POTV\IdbcWDkohRbzt)10-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^40[JSSWDkohRCnde]oqq.2!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z04WF__S@okd^Ob`aYk}}">%<6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnT`xz'6(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPltv+3,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP62]LQQYJimnTAljk_ymq,47.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[35XG\^TAljk_Lcg`Z~hz!;9%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPxnp+51/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?9TCXZPM`fg[HgclVrd~%?9)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>:UDYYQBaef\IdbcWqey$<7&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;=POTV\IdbcWDkohRv`r)03-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^40[JSSWDkohRCnde]{kw.59 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1;VE^XRCnde]NeabXpfx#>?'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:>Q@UU]NeabXEhnoSua}(31*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_71\KPRXEhnoS@okd^zlv-43!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z04WF__S@okd^Ob`aYg{"99$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTtb|'27+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP62]LQQYJimnTAljk_ymq,71.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[35XG\^TAljk_Lcg`Z~hz!83%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPxnp+75/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?9TCXZPM`fg[HgclVrd~%==)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=?RAZT^Ob`aYJimnTtb|'7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ93^MVPZKflmUFmijPxnp+<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&>1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*26,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&>5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*22,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&>9(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*14,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&=3(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*10,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&=7(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*1<,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTot&<1(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*06,>73kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7]^cg`Z02WF__S@okd^Ob`aYdq!?"4=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW??TCXZPM`fg[HgclVir$;'70:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:8Q@UU]NeabXEhnoSnw'7(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPcx*;-=6PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]`}-?.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[33XG\^TAljk_Lcg`Zjr|!;;%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUgyy&>1(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPltv+57/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW??TCXZPM`fg[HgclVf~x%?;)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]oqq.61 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1=VE^XRCnde]NeabXd|~#>='72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:8Q@UU]NeabXEhnoSa{{(33*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_77\KPRXEhnoS@okd^nvp-45!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYk}}"9?$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnT`xz'25+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP64]LQQYJimnTAljk_mww,73.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[33XG\^TAljk_Lcg`Zjr|!8=%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUgyy&=7(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPltv+6=/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW??TCXZPM`fg[HgclVf~x%=?)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>=&809ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;;POTV\IdbcWDkohRbzt)6*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_77\KPRXEhnoS@okd^nvp-2.91;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYk}}">%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUgyy&9)938fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]oqq.?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYk}}"2%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&>0(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPxnp+54/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW??TCXZPM`fg[HgclVrd~%?<)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]{kw.60 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1=VE^XRCnde]NeabXpfx#=4'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT:8Q@UU]NeabXEhnoSua}(32*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_77\KPRXEhnoS@okd^zlv-46!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYg{"9>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=9RAZT^Ob`aYJimnTtb|'22+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP64]LQQYJimnTAljk_ymq,72.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[33XG\^TAljk_Lcg`Z~hz!8>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&=6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ95^MVPZKflmUFmijPxnp+62/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW??TCXZPM`fg[HgclVrd~%<6)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX><&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;;POTV\IdbcWDkohRv`r)10-=7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]{kw.3!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYg{">%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSB[[_Lcg`ZKflmUsc&9)938fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^46[JSSWDkohRCnde]{kw.?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z02WF__S@okd^Ob`aYg{"2%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-75!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYdq!;8%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-71!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYdq!;<%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-47!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYdq!8:%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-43!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYdq!8>%5?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-4?!1;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYdq!82%5>4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUiW>?R_`fg[31XG\^TAljk_Lcg`Ze~ :8"4=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?=TCXZPM`fg[HgclVir$9'70:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSnw'5(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ97^MVPZKflmUFmijPcx*5-=6PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]`}-1.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[31XG\^TAljk_Lcg`Ze~ 1#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>>UDYYQBaef\IdbcWjs#5$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=;RAZT^Ob`aYJimnT`xz'11+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_mww,47.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[31XG\^TAljk_Lcg`Zjr|!;9%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ97^MVPZKflmUFmijPltv+51/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?=TCXZPM`fg[HgclVf~x%?9)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>>UDYYQBaef\IdbcWe$<7&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;9POTV\IdbcWDkohRbzt)03-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]oqq.59 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1?VE^XRCnde]NeabXd|~#>?'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSa{{(31*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_75\KPRXEhnoS@okd^nvp-43!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYk}}"99$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=;RAZT^Ob`aYJimnT`xz'27+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_mww,71.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[31XG\^TAljk_Lcg`Zjr|!83%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ97^MVPZKflmUFmijPltv+7,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>>UDYYQBaef\IdbcWe$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;9POTV\IdbcWDkohRbzt)12-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]oqq.4: 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1?VE^XRCnde]NeabXd|~#?>'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSa{{(5+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_mww,0/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>>UDYYQBaef\IdbcWe$:'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSa{{(9+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_mww, sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?=TCXZPM`fg[HgclVrd~%?>)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\76lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[BHCW:3TcRlT30_\eabX>>UDYYQBaef\IdbcWqey$<:&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVMEHR=6_n]a_67ZWhnoS;9POTV\IdbcWDkohRv`r)36-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQHNE]0=ZiXjR9:QRokd^44[JSSWDkohRCnde]{kw.6> 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\CKBX;0UdSoU<1\]b`aY1?VE^XRCnde]NeabXpfx#=:'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSua}(0:*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_75\KPRXEhnoS@okd^zlv-7>!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYg{"9<$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=;RAZT^Ob`aYJimnTtb|'20+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_ymq,74.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^EM@Z5>WfUiW>?R_`fg[31XG\^TAljk_Lcg`Z~hz!88%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTKCJP38]l[g]49TUjhiQ97^MVPZKflmUFmijPxnp+60/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`ZAILV92SbQm[23^[dbcW?=TCXZPM`fg[HgclVrd~%<8)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPGOF\7>UDYYQBaef\IdbcWqey$>'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSua}(22*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRIAD^1:[jYeS:;VSljk_75\KPRXEhnoS@okd^zlv-56!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]DJAY41VeTnV=>]^cg`Z00WF__S@okd^Ob`aYg{"8>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXOGNT?4Q`_cY05XYflmU=;RAZT^Ob`aYJimnTtb|'32+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_ymq,1/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>>UDYYQBaef\IdbcWqey$;'71:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWNDOS>7Po^`X74[XimnT::Q@UU]NeabXEhnoSua}(6+;5>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSJ@K_2;\kZd\;8WTmijP66]LQQYJimnTAljk_ymq,=/?92hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQHNE]0=ZiXimnT;7Po^cg`Z16WF__W>=50\]NeabXkp":>$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSnw'12+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^az,42.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYdq!;>%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x6(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_b{+52/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Ze~ 82"4?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTKCJP38]l[dbcW>;TCXZT3283YZKflmUhu%?6)938fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPcx*1-=4PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91<'72:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQHNE]0=ZiXimnT;7Po^cg`Z16WF__W>=50\]NeabXkp"98$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSnw'24+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^az,70.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYdq!8<%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_b{+6R_Lcg`Ze~ :#3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TULBIQ<9^m\eabX?8UDYYU<3;2^[HgclVir$>>&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSnw'5(:2?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_b{+2,>63kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZAILV92SbQnde]45ZIR\R986=SPM`fg[f/? 2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\e^54UVMEHR=6_n]b`aY09VE^XV=<:1_\IdbcWjs#4$6>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSnw'9(:1?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_mww,4/?;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Zjr|!;"=5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^nvp-76!190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWNDOS>7Po^cg`Z16WF__W>=50\]NeabXd|~#=?'73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQHNE]0=ZiXimnT;PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPltv+53/?;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Zjr|!;<%5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^nvp-7>!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWNDOS>7Po^cg`Z16WF__W>=50\]NeabXd|~#>$6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSa{{(32*<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\CKBX;0UdSljk_63\KPR\;:0;QRCnde]oqq.59 287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\e^54UVMEHR=6_n]b`aY09VE^XV=<:1_\IdbcWe$?<&829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPGOF\743kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZAILV92SbQnde]45ZIR\R986=SPM`fg[iss ;>"4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTKCJP38]l[dbcW>;TCXZT3283YZKflmUgyy&=5(:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_mww,70.0:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYk}}"9;$6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSa{{(3:*<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\CKBX;0UdSljk_63\KPR\;:0;QRCnde]oqq.51 297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\e^54UVMEHR=6_n]b`aY09VE^XV=<:1_\IdbcWe$>'73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQHNE]0=ZiXimnT;PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPltv+76/?:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Zjr|!>"4?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTKCJP38]l[dbcW>;TCXZT3283YZKflmUgyy&:)908fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPltv+2,>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZAILV92SbQnde]45ZIR\R986=SPM`fg[iss >#3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TULBIQ<9^m\eabX?8UDYYU<3;2^[HgclVf~x%6&839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91&829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPGOF\743kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZAILV92SbQnde]45ZIR\R986=SPM`fg[}iu 88"4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTKCJP38]l[dbcW>;TCXZT3283YZKflmUsc&>3(:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_ymq,42.0:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYg{":9$6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSua}(04*<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\CKBX;0UdSljk_63\KPR\;:0;QRCnde]{kw.6? 287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Baef\e^54UVMEHR=6_n]b`aY09VE^XV=<:1_\IdbcWqey$<6&829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPGOF\753kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZAILV92SbQnde]45ZIR\R986=SPM`fg[}iu ;#3?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TULBIQ<9^m\eabX?8UDYYU<3;2^[HgclVrd~%=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPxnp+64/?;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Z~hz!89%5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^zlv-43!190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWNDOS>7Po^cg`Z16WF__W>=50\]NeabXpfx#>8'73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQHNE]0=ZiXimnT;PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[BHCW:3TcRokd^52[JSSS:91#3?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TULBIQ<9^m\eabX?8UDYYU<3;2^[HgclVrd~%<7)918fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVkohR9>_NWW_65=8TUFmijPxnp+6R_Lcg`Z~hz!9"4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTKCJP38]l[dbcW>;TCXZT3283YZKflmUsc&<0(:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^EM@Z5>WfUjhiQ81^MVP^5429WTAljk_ymq,67.0:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYg{"8>$6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSua}(21*<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\CKBX;0UdSljk_63\KPR\;:0;QRCnde]{kw.3!180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWNDOS>7Po^cg`Z16WF__W>=50\]NeabXpfx#9$6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRIAD^1:[jYflmU<=RAZTZ10>5[XEhnoSua}(7+;6>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]DJAY41VeTmijP70]LQQ]4;3:VS@okd^zlv-1.0;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XOGNT?4Q`_`fg[27XG\^P?>4?]^Ob`aYg{"3%5<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)JimnTmV=<]^`X74[XimnT:4Q@UUY07?6ZWDkohRmv(02*3c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPcx*25,1a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^az,44.?o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XjR9:QRokd^4:[JSSS:91R_Lcg`Ze~ 8>";k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTnV=>]^cg`Z0>WF__W>=50\]NeabXkp":9$9i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVir$<8&7g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPbZ12YZgclV<2SB[[[2194XYJimnTot&>7(5e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^`X74[XimnT:4Q@UUY07?6ZWDkohRmv(0:*3c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPcx*2=,1b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^az,7/0n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[f/:8#?R_`fg[3?XG\^P?>4?]^Ob`aYdq!89%:h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x>'8f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQm[23^[dbcW?3TCXZT3283YZKflmUhu%<;)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSnw'24+4b>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQly)05-2`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[g]49TUjhiQ99^MVP^5429WTAljk_b{+62/0n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[f/:0#?R_`fg[3?XG\^P?>4?]^Ob`aYdq!9";k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTnV=>]^cg`Z0>WF__W>=50\]NeabXkp"8<$9i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVir$>?&7g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HgclVkP?>SPbZ12YZgclV<2SB[[[2194XYJimnTot&<2(5f?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^`X74[XimnT:4Q@UUY07?6ZWDkohRmv(5+4a>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQly)7*3`=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPcx*5-2cPQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[g]49TUjhiQ99^MVP^5429WTAljk_b{+3,1b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^az,=/0m2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?R_`fg[3?XG\^P?>4?]^Ob`aYk}}":=$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVf~x%?=)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSa{{(01*<5=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPltv+51/?82hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Zjr|!;=%5>4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSPbZ12YZgclV<2SB[[[2194XYJimnT`xz'19+;4>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQcuu*2=,1a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^nvp-4.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XjR9:QRokd^4:[JSSS:91?R_`fg[3?XG\^P?>4?]^Ob`aYk}}"9<$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVf~x%<>)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSa{{(30*<5=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPltv+66/?82hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>R_Lcg`Zjr|!8>%5>4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSPbZ12YZgclV<2SB[[[2194XYJimnT`xz'26+;4>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQcuu*1<,>73kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^nvp-4>!>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[iss :#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TUiW>?R_`fg[3?XG\^P?>4?]^Ob`aYk}}"8<$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVf~x%=>)928fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSa{{(20*<5=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'DkohRoT32_\f^56UVkohR86_NWW_65=8TUFmijPltv+76/0n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[iss <#?R_`fg[3?XG\^P?>4?]^Ob`aYk}}"=%:h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQm[23^[dbcW?3TCXZT3283YZKflmUgyy&7)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSa{{(8+;4>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQwos*24,>73kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^zlv-76!1:0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[}iu 88"4=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTnV=>]^cg`Z0>WF__W>=50\]NeabXpfx#=>'70:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQm[23^[dbcW?3TCXZT3283YZKflmUsc&>4(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^`X74[XimnT:4Q@UUY07?6ZWDkohRv`r)36-=6PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[g]49TUjhiQ99^MVP^5429WTAljk_ymq,40.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XjR9:QRokd^4:[JSSS:91#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TUiW>?R_`fg[3?XG\^P?>4?]^Ob`aYg{":4$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVrd~%?6)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSua}(3+;4>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQwos*14,>73kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^zlv-46!1:0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[}iu ;8"4=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTnV=>]^cg`Z0>WF__W>=50\]NeabXpfx#>>'70:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQm[23^[dbcW?3TCXZT3283YZKflmUsc&=4(:3?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)JimnTmV=<]^`X74[XimnT:4Q@UUY07?6ZWDkohRv`r)06-=6PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[g]49TUjhiQ99^MVP^5429WTAljk_ymq,70.091i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@okd^cX76[XjR9:QRokd^4:[JSSS:91#3<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 M`fg[d]4;TUiW>?R_`fg[3?XG\^P?>4?]^Ob`aYg{"94$6?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NeabXiR98QRlT30_\eabX>0UDYYU<3;2^[HgclVrd~%<6)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSua}(2+;4>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQwos*04,>73kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FmijPaZ10YZd\;8WTmijP68]LQQ]4;3:VS@okd^zlv-56!1:0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[}iu :8"4=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lcg`Zg\;:WTnV=>]^cg`Z0>WF__W>=50\]NeabXpfx#?>'8f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IdbcWhQ8?PQm[23^[dbcW?3TCXZT3283YZKflmUsc&;)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_cY05XYflmU=5RAZTZ10>5[XEhnoSua}(4+4b>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EhnoSlU<3\]a_67ZWhnoS;7POTVX76<7UVGjhiQwos*5-2`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GjhiQn[21^[g]49TUjhiQ99^MVP^5429WTAljk_ymq,2/0n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cnde]b_65ZWkQ8=PQnde]5=ZIR\R986=SPM`fg[}iu 0#=_HLU[4?2=VeTot&?)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?03]JJSY61dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4565W@D]S<7:5^m\g|.3!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0121[LHQW83>9RaPcx*5-2cPQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678;UBB[Q>947\kZe~ ?#Tecx>_HLU[5133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7898TECXP1876[jYk}}";%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?03]JJSY61=_HLU[4?2=VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3454XAG\T=4;:_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;Ve~x?02]JJSY61<>TcRmv(0+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4564W@D]S<7:4^m\g|.5!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<>QFNW]2=02XgVir$>'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0120[LHQW83>8RaPcx*7-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678:UBB[Q>946\kZe~ <#<_HLU[4?2?R_eku[=)Jkfg{mQMUR]3455XAG\T=4;;_n]oqq.7!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<>QFNW]2=02XgVf~x%?&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1237ZOI^V;299Q`_mww,7/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678:UBB[Q>946\kZjr|!?";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?3^KMRZ7>==UdSa{{(7+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4564W@D]S<7:4^m\hprca>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<9QFNW]2=05XgVir$='83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0127[LHQW83>?RaPcx*2-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678=UBB[Q>941\kZe~ ;#;_HLU[4?2;VeTot&<)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?%:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?05]JJSY61<9TcRmv(7+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4563W@D]S<7:3^m\g|.0!>o0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<9QFNW]2=05XgVir$:'Piot2[LHQW9=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=:PIOT\5<34WfUgyy&?)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0127[LHQW83>?RaPltv+7,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY789>TECXP1870[jYk}}"?%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?05]JJSY61<9TcRbzt)7*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[567;_HLU[4?2;VeT`xz'7(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3452XAG\T=4;<_n]oqqbn?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:;8RGAV^3:16YhWehd?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0126[LHQW83>>RaPcx*3-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678940\kZe~ 8#:_HLU[4?2:VeTot&=)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?SD@Y_0;66ZiXkp"?%:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?04]JJSY61<8TcRmv(4+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4562W@D]S<7:2^m\g|.1!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<8QFNW]2=04XgVir$:'8e:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0126[LHQW83>>RaPcx*4-Zoi~8UBB[Q?759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1231ZOI^V;29?Q`_mww,5/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;66ZiXd|~#=$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?017\MKPX90?9SbQcuu*1-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678940\kZjr|!9";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?5^KMRZ7>=;UdSa{{(5+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4562W@D]S<7:2^m\hpr/= =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=;PIOT\5<35WfUgyy&9)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678?UBB[Q>943\kZe~ 9#9_HLU[4?29VeTot&>)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?07]JJSY61<;TcRmv(5+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4561W@D]S<7:1^m\g|.2!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<;QFNW]2=07XgVir$;'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0125[LHQW83>=RaPcx*4-2cPQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ678?UBB[Q>943\kZe~ >#Tecx>_HLU[5133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY789Ve~x?07]JJSY61<;TcRbzt)3*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[567>VCEZR?650]l[iss ;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=>9_HLU[4?29VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3450XAG\T=4;>_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<;QFNW]2=07XgVf~x%;&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1232ZOI^V;29VCEZR?650]l[issl`;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=>9_HLU[4?29VeT`xzki350?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3451XAG\T=4;?_n]`}-6.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:;;RGAV^3:15YhWjs#=$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?015\MKPX90?;SbQly)0*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[567?VCEZR?651]l[f/; =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=9PIOT\5<37WfUhu%:&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1233ZOI^V;29=Q`_b{+1,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY789=TECXP1873[jYdq!<";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?7^KMRZ7>=9UdSnw'7(5f?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3451XAG\T=4;?_n]`}-1.W`d}=RGAV^240>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4560W@D]S<7:0^m\hpr/8 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=9PIOT\5<37WfUgyy&>)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Po^nvp-4.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:;;RGAV^3:15YhWe$>'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0124[LHQW83>%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?06]JJSY61<:TcRbzt)4*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[567?VCEZR?651]l[iss >#8_HLU[4?28VeT`xzki668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Po^nvpao6?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:;;RGAV^3:15YhWehd<83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P012;[LHQW83?5RaPcx*3-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6781UBB[Q>95;\kZe~ 8#7_HLU[4?31VeTot&=)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?09]JJSY61=3TcRmv(4+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\456?W@D]S<7;9^m\g|.1!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<5QFNW]2=1?XgVir$:'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P012;[LHQW83?5RaPcx*;-2cPQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6781UBB[Q>95;\kZe~ 1#Tecx>_HLU[5133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7892TECXP186:[jYk}}";%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?09]JJSY61=3TcRbzt)3*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5670VCEZR?648]l[iss ;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=>7_HLU[4?31VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]345>XAG\T=4:6_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<5QFNW]2=1?XgVf~x%;&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1232SbQcuu*;-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6781UBB[Q>95;\kZjr|mc<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=>7_HLU[4?31VeT`xzki057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]345>XAG\T=4:6_n]oqqbn:>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;<5QFNW]2=1?XgVf~xig<729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_123=ZOI^V;285Q`_b{+4,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7893TECXP186;[jYdq!;";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?9^KMRZ7><1UdSnw'2(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]345?XAG\T=4:7_n]`}-5.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:;5RGAV^3:0=YhWjs#8$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?01;\MKPX90>3SbQly)7*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5671VCEZR?649]l[f/> =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=7PIOT\5<2?WfUhu%9&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_123=ZOI^V;285Q`_b{+<,1b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7893TECXP186;[jYdq!2"Sd`y1^KMRZ60<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>3SbQcuu*2-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6780UBB[Q>95:\kZjr|!8";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?9^KMRZ7><1UdSa{{(2+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\456>W@D]S<7;8^m\hpr/< =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=7PIOT\5<2?WfUgyy&:)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P012:[LHQW83?4RaPltv+<,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7893TECXP186;[jYk}}nb;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>?9^KMRZ7><1UdSa{{dh340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\456>W@D]S<7;8^m\hprca;=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<=7PIOT\5<2?WfUgyyjf3618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?11]JJSY61==TcRmv(3+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4577W@D]S<7;7^m\g|.4!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;==QFNW]2=11XgVir$9'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0133[LHQW83?;RaPcx*6-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6799UBB[Q>955\kZe~ ?#_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0133[LHQW83?;RaPltv+5,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788:TECXP1864[jYk}}"9%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?11]JJSY61==TcRbzt)1*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5668VCEZR?646]l[iss =#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=??_HLU[4?3?VeT`xz'5(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3446XAG\T=4:8_n]oqq.1!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;==QFNW]2=11XgVf~x%9&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1224ZOI^V;28:Q`_mww,=/0;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x?11]JJSY61==TcRbztek231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5668VCEZR?646]l[issl`8<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=??_HLU[4?3?VeT`xzki257?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3446XAG\T=4:8_n]oqqbn<>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0132[LHQW83?:RaPcx*2-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6798UBB[Q>954\kZe~ ;#_HLU[4?3>VeTot&<)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?%:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?10]JJSY61=dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4576W@D]S<7;6^m\g|.0!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0132[LHQW83?:RaPcx*:-2cPQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6798UBB[Q>954\kZe~ 0#Tecx>_HLU[5133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788;TECXP1865[jYk}}";%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?10]JJSY61=_HLU[4?3>VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3447XAG\T=4:9_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;==SbQcuu*;-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ6798UBB[Q>954\kZjr|!3";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>1^KMRZ7>?R_eku[=)Jkfg{mQMUR]3447XAG\T=4:9_n]oqqbn9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=>SbQly)2*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566:VCEZR?644]l[f/9 =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>2^KMRZ7><?R_eku[=)Jkfg{mQMUR]3444XAG\T=4::_n]`}-0.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::>RGAV^3:00YhWjs#;$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?000\MKPX90>>SbQly):*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566:VCEZR?644]l[f/1 =n7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<?R_eku[=)Jkfg{mQMUR]3444XAG\T=4::_n]oqq.6!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=?QFNW]2=13XgVf~x%<&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1226ZOI^V;288Q`_mww,6/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>>SbQcuu*6-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679;UBB[Q>957\kZjr|!<";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>2^KMRZ7><dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4575W@D]S<7;5^m\hpr/0 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<>SbQcuufj622PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679;UBB[Q>957\kZjr|mc8;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>2^KMRZ7><dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4575W@D]S<7;5^m\hprca<=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<=PIOT\5<23WfUhu%>&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1227ZOI^V;289Q`_b{+5,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7889TECXP1867[jYdq!8";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>3^KMRZ7><=UdSnw'3(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3445XAG\T=4:;_n]`}-2.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::?RGAV^3:01YhWjs#9$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?001\MKPX90>?SbQly)4*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566;VCEZR?645]l[f/? =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<=PIOT\5<23WfUhu%6&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1227ZOI^V;289Q`_b{+=,1b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7889TECXP1867[jYdq!3"Sd`y1^KMRZ60<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?SbQcuu*2-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679:UBB[Q>956\kZjr|!8";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>3^KMRZ7><=UdSa{{(2+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4574W@D]S<7;4^m\hpr/< =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<=PIOT\5<23WfUgyy&:)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0130[LHQW83?8RaPltv+<,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7889TECXP1867[jYk}}"2%:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?12]JJSY61=>TcRbztek40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4574W@D]S<7;4^m\hprca8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<=PIOT\5<23WfUgyyjf2668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0130[LHQW83?8RaPltvgm0143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788>TECXP1860[jYdq!:";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>4^KMRZ7><:UdSnw'1(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3442XAG\T=4:<_n]`}-77!>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=9QFNW]2=15XgVir$<>&_hlu5ZOI^V:Ve~x?15]JJSY61=9TcRmv(4+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4573W@D]S<7;3^m\g|.1!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=9QFNW]2=15XgVir$:'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0137[LHQW83??RaPcx*;-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679=UBB[Q>951\kZe~ 0#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?;_HLU[4?3;VeT`xz'0(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3442XAG\T=4:<_n]oqq.6!>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=9QFNW]2=15XgVf~x%??)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0137[LHQW83??RaPltv+0,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788>TECXP1860[jYk}}">%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?15]JJSY61=9TcRbzt)4*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?;_HLU[4?3;VeT`xz'8(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3442XAG\T=4:<_n]oqq.>!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=9QFNW]2=15XgVf~xig84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0137[LHQW83??RaPltvgm4133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788>TECXP1860[jYk}}nb>::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?15]JJSY61=9TcRbztek031=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?;_HLU[4?3;VeT`xzki457?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3442XAG\T=4:<_n]oqqbn>>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=8QFNW]2=14XgVir$='83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0136[LHQW83?>RaPcx*2-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679950\kZe~ 8:";k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>5^KMRZ7><;UdSnw'11+\mkp6W@D]S=9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?007\MKPX90>9SbQly)0*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566=VCEZR?643]l[f/; =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<;PIOT\5<25WfUhu%:&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1221ZOI^V;28?Q`_b{+1,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788?TECXP1861[jYdq!<";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>5^KMRZ7><;UdSnw'7(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3443XAG\T=4:=_n]`}->.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::9RGAV^3:07YhWjs#5$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?007\MKPX90>9SbQcuu*3-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679950\kZjr|!;";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>5^KMRZ7><;UdSa{{(02*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566=VCEZR?643]l[iss ;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?:_HLU[4?3:VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3443XAG\T=4:=_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=8QFNW]2=14XgVf~x%;&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1221ZOI^V;28?Q`_mww,3/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;76ZiXd|~#;$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?007\MKPX90>9SbQcuu*;-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679950\kZjr|!3";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>5^KMRZ7><;UdSa{{dh57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3443XAG\T=4:=_n]oqqbn9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=8QFNW]2=14XgVf~xig=759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1221ZOI^V;28?Q`_mww`l50<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;76ZiXd|~oe99;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?007\MKPX90>9SbQcuufj122PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679950\kZjr|mc=;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>6^KMRZ7><8UdSnw'0(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3440XAG\T=4:>_n]`}-7.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:::RGAV^3:04YhWjs#=='8f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0135[LHQW83?=RaPcx*24,Ynf;TECXP0618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?17]JJSY61=;TcRmv(5+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4571W@D]S<7;1^m\g|.2!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=;QFNW]2=17XgVir$;'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0135[LHQW83?=RaPcx*4-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679?UBB[Q>953\kZe~ 1#_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0135[LHQW83?=RaPltv+55/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?004\MKPX90>:SbQcuu*0-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679?UBB[Q>953\kZjr|!>";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>6^KMRZ7><8UdSa{{(4+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4571W@D]S<7;1^m\hpr/> =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<8PIOT\5<26WfUgyy&8)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:::RGAV^3:04YhWe$4'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0135[LHQW83?=RaPltvgm22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679?UBB[Q>953\kZjr|mc:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>6^KMRZ7><8UdSa{{dh040>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4571W@D]S<7;1^m\hprca:=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<8PIOT\5<26WfUgyyjf4668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0135[LHQW83?=RaPltvgm2143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY788=TECXP1863[jYdq!:";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>7^KMRZ7><9UdSnw'1(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3441XAG\T=4:?_n]`}-77!>l0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=:QFNW]2=16XgVir$<>&_hlu5ZOI^V:Po^az,6/0;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x?16]JJSY61=:TcRmv(4+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4570W@D]S<7;0^m\g|.1!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=:QFNW]2=16XgVir$:'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0134[LHQW83?PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ679>UBB[Q>952\kZe~ 0#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?8_HLU[4?38VeT`xz'0(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3441XAG\T=4:?_n]oqq.6!>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=:QFNW]2=16XgVf~x%??)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Po^nvp-4.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::;RGAV^3:05YhWe$>'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0134[LHQW83?%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?16]JJSY61=:TcRbzt)4*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566?VCEZR?641]l[iss >#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?8_HLU[4?38VeT`xz'8(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3441XAG\T=4:?_n]oqq.>!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=:QFNW]2=16XgVf~xig84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0134[LHQW83?::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?16]JJSY61=:TcRbztek031=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[566?VCEZR?641]l[issl`><86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?8_HLU[4?38VeT`xzki457?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3441XAG\T=4:?_n]oqqbn>>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=:QFNW]2=16XgVf~xig8729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_122 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>8^KMRZ7>;0UdSnw'11+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\457?W@D]S<7<9^m\g|.69 =m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<6PIOT\5<5>WfUhu%?>)^kmr4YNF_U;;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>8^KMRZ7>;0UdSnw'2(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344>XAG\T=4=6_n]`}-5.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::4RGAV^3:7 =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<6PIOT\5<5>WfUhu%9&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_122 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>8^KMRZ7>;0UdSa{{(1+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\457?W@D]S<7<9^m\hpr/9 =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<6PIOT\5<5>WfUgyy&>0(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344>XAG\T=4=6_n]oqq.69 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<6PIOT\5<5>WfUgyy&=)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?7Po^nvp-5.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::4RGAV^3:7_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P013;[LHQW8385RaPltv+1,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7882TECXP181:[jYk}}"=%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?19]JJSY61:3TcRbzt)5*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5660VCEZR?638]l[iss 1#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?7_HLU[4?41VeT`xz'9(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344>XAG\T=4=6_n]oqqbn?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::4RGAV^3:7_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P013;[LHQW8385RaPltvgm7133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7882TECXP181:[jYk}}nb?::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?19]JJSY61:3TcRbztek731=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5660VCEZR?638]l[issl`?<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?7_HLU[4?41VeT`xzki757?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344>XAG\T=4=6_n]oqqbn?>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=5QFNW]2=6?XgVf~xig7729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_122=ZOI^V;2?5Q`_b{+4,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7883TECXP181;[jYdq!;";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>9^KMRZ7>;1UdSnw'11+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\457>W@D]S<7<8^m\g|.69 =m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<7PIOT\5<5?WfUhu%?>)^kmr4YNF_U;;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>9^KMRZ7>;1UdSnw'2(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344?XAG\T=4=7_n]`}-5.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::5RGAV^3:7=YhWjs#8$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?00;\MKPX9093SbQly)7*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5661VCEZR?639]l[f/> =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<7PIOT\5<5?WfUhu%9&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_122=ZOI^V;2?5Q`_b{+<,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7883TECXP181;[jYdq!3";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>>9^KMRZ7>;1UdSa{{(1+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\457>W@D]S<7<8^m\hpr/9 =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<7PIOT\5<5?WfUgyy&>0(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344?XAG\T=4=7_n]oqq.69 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<<7PIOT\5<5?WfUgyy&=)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?6Po^nvp-5.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::5RGAV^3:7=YhWe$9'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P013:[LHQW8384RaPltv+1,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7883TECXP181;[jYk}}"=%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?18]JJSY61:2TcRbzt)5*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5661VCEZR?639]l[iss 1#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?6_HLU[4?40VeT`xz'9(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344?XAG\T=4=7_n]oqqbn?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9::5RGAV^3:7=YhWehd?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P013:[LHQW8384RaPltvgm7133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY7883TECXP181;[jYk}}nb?::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?18]JJSY61:2TcRbztek731=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5661VCEZR?639]l[issl`?<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?6_HLU[4?40VeT`xzki757?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]344?XAG\T=4=7_n]oqqbn?>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;=4QFNW]2=6>XgVf~xig7729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214ZOI^V;2?:Q`_b{+4,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;:TECXP1814[jYdq!;";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=0^KMRZ7>;>UdSnw'11+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4547W@D]S<7<7^m\g|.69 =m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;PIOT\5<50WfUhu%?>)^kmr4YNF_U;;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=0^KMRZ7>;>UdSnw'2(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3476XAG\T=4=8_n]`}-5.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9 =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;PIOT\5<50WfUhu%9&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214ZOI^V;2?:Q`_b{+<,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;:TECXP1814[jYdq!3";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=0^KMRZ7>;>UdSa{{(1+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4547W@D]S<7<7^m\hpr/9 =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;PIOT\5<50WfUgyy&>0(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3476XAG\T=4=8_n]oqq.69 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;PIOT\5<50WfUgyy&=)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?9Po^nvp-5.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0103[LHQW838;RaPltv+1,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;:TECXP1814[jYk}}"=%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?21]JJSY61:=TcRbzt)5*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5658VCEZR?636]l[iss 1#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?R_eku[=)Jkfg{mQMUR]3476XAG\T=4=8_n]oqqbn?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0103[LHQW838;RaPltvgm7133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;:TECXP1814[jYk}}nb?::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?21]JJSY61:=TcRbztek731=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5658VCEZR?636]l[issl`?<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=?R_eku[=)Jkfg{mQMUR]3476XAG\T=4=8_n]oqqbn?>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>=QFNW]2=61XgVf~xig7759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214ZOI^V;2?:Q`_mww`l?0;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x?20]JJSY61:dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4546W@D]S<7<6^m\g|.68 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?8Po^az,44.?o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWjs#=?'Piot2[LHQW9=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=1^KMRZ7>;?UdSnw'5(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3477XAG\T=4=9_n]`}-0.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWjs#;$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?033\MKPX909=SbQly):*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5659VCEZR?637]l[f/1 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;8Po^nvp-7.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWe$<>&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1215ZOI^V;2?;Q`_mww,47.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWe$<<&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1215ZOI^V;2?;Q`_mww,7/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:8UBB[Q>924\kZjr|!?";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=1^KMRZ7>;?UdSa{{(7+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4546W@D]S<7<6^m\hpr/? =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;8Po^nvp-?.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWehd9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?033\MKPX909=SbQcuufj522PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:8UBB[Q>924\kZjr|mc9;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=1^KMRZ7>;?UdSa{{dh140>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4546W@D]S<7<6^m\hprca==?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;8Po^nvpao1?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9=RGAV^3:73YhWehd984:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0102[LHQW838:RaPltvgm=133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;;TECXP1815[jYk}}nb5:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?23]JJSY61:?TcRmv(1+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4545W@D]S<7<5^m\g|.6!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>?QFNW]2=63XgVir$<>&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1216ZOI^V;2?8Q`_b{+54/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>$9i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?030\MKPX909>SbQly)31-Zoi~8UBB[Q?729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1216ZOI^V;2?8Q`_b{+6,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;8TECXP1816[jYdq!9";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=2^KMRZ7>;?R_eku[=)Jkfg{mQMUR]3474XAG\T=4=:_n]`}-3.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9>RGAV^3:70YhWjs#:$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?030\MKPX909>SbQly)5*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565:VCEZR?634]l[f/0 =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;SbQcuu*24,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;8TECXP1816[jYk}}":=$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?030\MKPX909>SbQcuu*26,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;8TECXP1816[jYk}}"9%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?23]JJSY61:?TcRbzt)1*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565:VCEZR?634]l[iss =#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<=_HLU[4?4=VeT`xz'5(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3474XAG\T=4=:_n]oqq.1!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>?QFNW]2=63XgVf~x%9&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1216ZOI^V;2?8Q`_mww,=/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SbQcuufj31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565:VCEZR?634]l[issl`;<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<=_HLU[4?4=VeT`xzki0240>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4545W@D]S<7<5^m\hprca;=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;;Po^nvpao3?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9>RGAV^3:70YhWehd;84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0101[LHQW8389RaPltvgm3133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;8TECXP1816[jYk}}nb;::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?23]JJSY61:?TcRbztek;31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565:VCEZR?634]l[issl`3:Po^az,4/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67::UBB[Q>926\kZe~ 88";k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=3^KMRZ7>;=UdSnw'13+\mkp6W@D]S=9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?031\MKPX909?SbQly)0*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565;VCEZR?635]l[f/; =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=3^KMRZ7>;=UdSnw'7(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3475XAG\T=4=;_n]`}->.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9?RGAV^3:71YhWjs#5$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?031\MKPX909?SbQcuu*3-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67::UBB[Q>926\kZjr|!;";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=3^KMRZ7>;=UdSa{{(02*30=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565;VCEZR?635]l[iss 8;";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=3^KMRZ7>;=UdSa{{(00*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565;VCEZR?635]l[iss ;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<<_HLU[4?4?R_eku[=)Jkfg{mQMUR]3475XAG\T=4=;_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>>QFNW]2=62XgVf~x%;&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1217ZOI^V;2?9Q`_mww,3/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67::UBB[Q>926\kZjr|!3";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=3^KMRZ7>;=UdSa{{dh57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3475XAG\T=4=;_n]oqqbn9>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>>QFNW]2=62XgVf~xig>0668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?:Po^nvpao5?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9?RGAV^3:71YhWehd=84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0100[LHQW8388RaPltvgm1133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;9TECXP1817[jYk}}nb9::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?22]JJSY61:>TcRbztek531=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565;VCEZR?635]l[issl`=<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<<_HLU[4?4?R_eku[=)Jkfg{mQMUR]3475XAG\T=4=;_n]oqqbn1>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVir$='83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0107[LHQW838?RaPcx*2-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:=UBB[Q>921\kZe~ 8:";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=4^KMRZ7>;:UdSnw'10+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4543W@D]S<7<3^m\g|.6: =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;=Po^az,45.W`d}=RGAV^247>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4543W@D]S<7<3^m\g|.5!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVir$>'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0107[LHQW838?RaPcx*7-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:=UBB[Q>921\kZe~ <#=Po^az,2/0;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x?25]JJSY61:9TcRmv(8+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4543W@D]S<7<3^m\hpr/8 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;)678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=Po^nvp-77!>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVf~x%?>)678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=Po^nvp-75!>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVf~x%?<)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=Po^nvp-4.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:98RGAV^3:76YhWe$>'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0107[LHQW838?RaPltv+0,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;>TECXP1810[jYk}}">%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?25]JJSY61:9TcRbzt)4*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<;_HLU[4?4;VeT`xz'8(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3472XAG\T=4=<_n]oqq.>!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVf~xig84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0107[LHQW838?RaPltvgm4123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;>TECXP1810[jYk}}nb==9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?036\MKPX9098SbQcuufj54133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;>TECXP1810[jYk}}nb>::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?25]JJSY61:9TcRbztek031=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<;_HLU[4?4;VeT`xzki457?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3472XAG\T=4=<_n]oqqbn>>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>9QFNW]2=65XgVf~xig8759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1210ZOI^V;2?>Q`_mww`l>0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0106[LHQW838>RaPcx*27,1a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;?TECXP1811[jYdq!;8%Rgav0]JJSY7?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:99RGAV^3:77YhWjs#>$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?037\MKPX9099SbQly)1*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565=VCEZR?633]l[f/< =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=5^KMRZ7>;;UdSnw'8(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3473XAG\T=4==_n]`}-?.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:99RGAV^3:77YhWe$='84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0106[LHQW838>RaPltv+5,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;?TECXP1811[jYk}}":<$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?037\MKPX9099SbQcuu*25,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;?TECXP1811[jYk}}":>$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?037\MKPX9099SbQcuu*27,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;?TECXP1811[jYk}}"9%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?24]JJSY61:8TcRbzt)1*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565=VCEZR?633]l[iss =#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<:_HLU[4?4:VeT`xz'5(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3473XAG\T=4==_n]oqq.1!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>8QFNW]2=64XgVf~x%9&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1211ZOI^V;2??Q`_mww,=/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;06ZiXd|~#5$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?037\MKPX9099SbQcuufj31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565=VCEZR?633]l[issl`;<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<:_HLU[4?4:VeT`xzki0241>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4542W@D]S<7<2^m\hprca8;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<:_HLU[4?4:VeT`xzki357?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3473XAG\T=4==_n]oqqbn;>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>8QFNW]2=64XgVf~xig;759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1211ZOI^V;2??Q`_mww`l30<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;06ZiXd|~oe;9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?037\MKPX9099SbQcuufj322PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:920\kZjr|mc3;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=5^KMRZ7>;;UdSa{{dh;47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4541W@D]S<7<1^m\g|.7!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>;QFNW]2=67XgVir$<'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0105[LHQW838=RaPcx*24,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;Ve~x?27]JJSY61:;TcRmv(00*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565>VCEZR?630]l[f/9:#3(]jjs7XAG\T<:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?27]JJSY61:;TcRmv(3+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4541W@D]S<7<1^m\g|.4!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>;QFNW]2=67XgVir$9'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0105[LHQW838=RaPcx*6-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:?UBB[Q>923\kZe~ ?#?Po^az,=/0;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x?27]JJSY61:;TcRbzt)2*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565>VCEZR?630]l[iss 8#<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<9_HLU[4?49VeT`xz'11+41>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4541W@D]S<7<1^m\hpr/98#<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<9_HLU[4?49VeT`xz'13+41>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4541W@D]S<7<1^m\hpr/9:#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<9_HLU[4?49VeT`xz'2(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3470XAG\T=4=>_n]oqq.4!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>;QFNW]2=67XgVf~x%:&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1212ZOI^V;2?PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:?UBB[Q>923\kZjr|!2";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=6^KMRZ7>;8UdSa{{(8+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4541W@D]S<7<1^m\hprca>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>;QFNW]2=67XgVf~xig>749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1212ZOI^V;2?749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1212ZOI^V;2?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0105[LHQW838=RaPltvgm6133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;Ve~x?27]JJSY61:;TcRbztek631=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565>VCEZR?630]l[issl`<<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<9_HLU[4?49VeT`xzki657?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3470XAG\T=4=>_n]oqqbn0>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>;QFNW]2=67XgVf~xig6729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1213ZOI^V;2?=Q`_b{+4,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;=TECXP1813[jYdq!;";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=7^KMRZ7>;9UdSnw'11+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4540W@D]S<7<0^m\g|.69 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;>Po^az,45.?o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWjs#=>'Piot2[LHQW9=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=7^KMRZ7>;9UdSnw'5(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3471XAG\T=4=?_n]`}-0.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWjs#;$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?035\MKPX909;SbQly):*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565?VCEZR?631]l[f/1 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;>Po^nvp-7.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWe$<>&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1213ZOI^V;2?=Q`_mww,47.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWe$<<&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1213ZOI^V;2?=Q`_mww,45.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWe$?'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0104[LHQW838Ve~x?26]JJSY61::TcRbzt)7*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[565?VCEZR?631]l[iss ?#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<8_HLU[4?48VeT`xz'7(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3471XAG\T=4=?_n]oqq.?!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>:QFNW]2=66XgVf~x%7&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1213ZOI^V;2?=Q`_mww`l133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;=TECXP1813[jYk}}nb=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?26]JJSY61::TcRbztek2423PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:>UBB[Q>922\kZjr|mc:=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?26]JJSY61::TcRbztek2622PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:>UBB[Q>922\kZjr|mc9;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=7^KMRZ7>;9UdSa{{dh140>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4540W@D]S<7<0^m\hprca==?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;>Po^nvpao1?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:9;RGAV^3:75YhWehd984:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0104[LHQW838Ve~x?29]JJSY61;3TcRmv(1+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\454?W@D]S<7=9^m\g|.6!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>5QFNW]2=7?XgVir$<>&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214Q`_b{+54/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?03:\MKPX9082SbQly)30-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:1UBB[Q>93;\kZe~ 8>";k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSnw'15+\mkp6W@D]S=9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?03:\MKPX9082SbQly)0*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5650VCEZR?628]l[f/; =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;WfUhu%:&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214Q`_b{+1,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;2TECXP180:[jYdq!<";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSnw'7(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347>XAG\T=4<6_n]`}->.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:94RGAV^3:6PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:1UBB[Q>93;\kZjr|!;";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{(02*30=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5650VCEZR?628]l[iss 8;";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{(00*30=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5650VCEZR?628]l[iss 89";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{(06*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5650VCEZR?628]l[iss ;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<7_HLU[4?51VeT`xz'3(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347>XAG\T=4<6_n]oqq.3!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>5QFNW]2=7?XgVf~x%;&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214Q`_mww,3/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:1UBB[Q>93;\kZjr|!3";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{dh57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347>XAG\T=4<6_n]oqqbn9>?0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>5QFNW]2=7?XgVf~xig>0678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>5QFNW]2=7?XgVf~xig>2678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>5QFNW]2=7?XgVf~xig=759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1214Q`_mww`l50<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:1UBB[Q>93;\kZjr|mc=;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{dh540>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\454?W@D]S<7=9^m\hprca1=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;WfUgyyjf9618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?28]JJSY61;2TcRmv(02*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5651VCEZR?629]l[f/98#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<6_HLU[4?50VeTot&>2(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347?XAG\T=4<7_n]`}-74!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>4QFNW]2=7>XgVir$<:&7g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_121=ZOI^V;2>5Q`_b{+51/Xag|:SD@Y_150?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347?XAG\T=4<7_n]`}-4.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:95RGAV^3:6=YhWjs#?$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?03;\MKPX9083SbQly)6*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5651VCEZR?629]l[f/= =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;5Q`_b{+3,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;3TECXP180;[jYdq!2";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=>=9^KMRZ7>:1UdSnw'9(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347?XAG\T=4<7_n]oqq.7!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>4QFNW]2=7>XgVf~x%?&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_121=ZOI^V;2>5Q`_mww,46.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:95RGAV^3:6=YhWe$5Q`_mww,44.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:95RGAV^3:6=YhWe$<=&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_121=ZOI^V;2>5Q`_mww,42.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:95RGAV^3:6=YhWe$?'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P010:[LHQW8394RaPltv+7,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;3TECXP180;[jYk}}"?%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?28]JJSY61;2TcRbzt)7*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5651VCEZR?629]l[iss ?#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<6_HLU[4?50VeT`xz'7(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347?XAG\T=4<7_n]oqq.?!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>4QFNW]2=7>XgVf~x%7&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_121=ZOI^V;2>5Q`_mww`l133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78;3TECXP180;[jYk}}nb=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?28]JJSY61;2TcRbztek2423PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:0UBB[Q>93:\kZjr|mc:=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?28]JJSY61;2TcRbztek2623PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67:0UBB[Q>93:\kZjr|mc:?::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?28]JJSY61;2TcRbztek131=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5651VCEZR?629]l[issl`9<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<=<6_HLU[4?50VeT`xzki557?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]347?XAG\T=4<7_n]oqqbn=>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;>4QFNW]2=7>XgVf~xig9759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_121=ZOI^V;2>5Q`_mww`l10<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;9UBB[Q>935\kZe~ 9#)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0113[LHQW839;RaPcx*26,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78::TECXP1804[jYdq!;8%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?31]JJSY61;=TcRmv(06*3<=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5648VCEZR?626]l[f/9=#Tecx>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1204ZOI^V;2>:Q`_b{+6,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78::TECXP1804[jYdq!9";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><0^KMRZ7>:>UdSnw'4(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3466XAG\T=4<8_n]`}-3.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:8>PIOT\5<40WfUhu%7&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1204ZOI^V;2>:Q`_mww,5/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;9UBB[Q>935\kZjr|!9";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><0^KMRZ7>:>UdSa{{(5+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4557W@D]S<7=7^m\hpr/= =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>>PIOT\5<40WfUgyy&9)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0113[LHQW839;RaPltv+=,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78::TECXP1804[jYk}}nb;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><0^KMRZ7>:>UdSa{{dh341>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4557W@D]S<7=7^m\hprca8:<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==?_HLU[4?5?VeT`xzki0341>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4557W@D]S<7=7^m\hprca88<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==?_HLU[4?5?VeT`xzki0140>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4557W@D]S<7=7^m\hprca;=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>>PIOT\5<40WfUgyyjf3668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0113[LHQW839;RaPltvgm3133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78::TECXP1804[jYk}}nb;::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?31]JJSY61;=TcRbztek;31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[5648VCEZR?626]l[issl`3_HLU[4?5>VeTot&?)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;8UBB[Q>934\kZe~ 88";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><1^KMRZ7>:?UdSnw'12+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4556W@D]S<7=6^m\g|.6< =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>?PIOT\5<41WfUhu%?:)6d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4556W@D]S<7=6^m\g|.5!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0112[LHQW839:RaPcx*7-25PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;8UBB[Q>934\kZe~ <#_HLU[4?5>VeTot&9)618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x?30]JJSY61;dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4556W@D]S<7=6^m\hpr/8 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>?PIOT\5<41WfUgyy&>)678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?)678fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;??0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0112[LHQW839:RaPltv+0,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:;TECXP1805[jYk}}">%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?30]JJSY61;#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==>_HLU[4?5>VeT`xz'8(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3467XAG\T=4<9_n]oqq.>!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0112[LHQW839:RaPltvgm4123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:;TECXP1805[jYk}}nb==9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?023\MKPX908=SbQcuufj54123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:;TECXP1805[jYk}}nb=?9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?023\MKPX908=SbQcuufj56123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:;TECXP1805[jYk}}nb=99;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?023\MKPX908=SbQcuufj622PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;8UBB[Q>934\kZjr|mc8;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><1^KMRZ7>:?UdSa{{dh640>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4556W@D]S<7=6^m\hprca<=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>?PIOT\5<41WfUgyyjf6668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0112[LHQW839:RaPltvgm<143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYdq!:";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:?R_eku[=)Jkfg{mQMUR]3464XAG\T=4<:_n]`}-77!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;??QFNW]2=73XgVir$8Q`_b{+57/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SbQly)37-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;;UBB[Q>937\kZe~ 8?";45mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:RGAV^3:60YhWjs#>$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?020\MKPX908>SbQly)1*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564:VCEZR?624]l[f/< =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>8Q`_b{+2,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYdq!=";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:?R_eku[=)Jkfg{mQMUR]3464XAG\T=4<:_n]`}-?.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:8>RGAV^3:60YhWe$='84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0111[LHQW8399RaPltv+5,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYk}}":<$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?020\MKPX908>SbQcuu*25,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYk}}":>$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?020\MKPX908>SbQcuu*27,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYk}}":8$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?020\MKPX908>SbQcuu*1-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;;UBB[Q>937\kZjr|!9";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4555W@D]S<7=5^m\hpr/= =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>RGAV^3:60YhWe$5'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0111[LHQW8399RaPltv+=,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:8TECXP1806[jYk}}nb;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4555W@D]S<7=5^m\hprca8:<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<===_HLU[4?5=VeT`xzki0341>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4555W@D]S<7=5^m\hprca88<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<===_HLU[4?5=VeT`xzki0141>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4555W@D]S<7=5^m\hprca8><86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<===_HLU[4?5=VeT`xzki357?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3464XAG\T=4<:_n]oqqbn;>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;??QFNW]2=73XgVf~xig;759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1206ZOI^V;2>8Q`_mww`l30<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SbQcuufj322PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;;UBB[Q>937\kZjr|mc3;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><2^KMRZ7>:dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\g|.7!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVir$<'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0110[LHQW8398RaPcx*24,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:9TECXP1807[jYdq!;:%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?32]JJSY61;>TcRmv(00*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564;VCEZR?625]l[f/9:#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==<_HLU[4?54(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3465XAG\T=4<;_n]`}-72!>30najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVir$<;&_hlu525PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;:UBB[Q>936\kZe~ ;#%:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?32]JJSY61;>TcRmv(7+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\g|.0!>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVir$5'83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0110[LHQW8398RaPcx*:-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;:UBB[Q>936\kZjr|!:";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><3^KMRZ7>:=UdSa{{(0+41>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\hpr/99#<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==<_HLU[4?5dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\hpr/9;#<96lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==<_HLU[4?5dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\hpr/9=#<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==<_HLU[4?5?R_eku[=)Jkfg{mQMUR]3465XAG\T=4<;_n]oqq.4!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVf~x%:&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1207ZOI^V;2>9Q`_mww,0/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;:UBB[Q>936\kZjr|!2";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><3^KMRZ7>:=UdSa{{(8+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4554W@D]S<7=4^m\hprca>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVf~xig>749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1207ZOI^V;2>9Q`_mww`l77?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:8?RGAV^3:61YhWehd?>749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1207ZOI^V;2>9Q`_mww`l75?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:8?RGAV^3:61YhWehd?<749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1207ZOI^V;2>9Q`_mww`l73?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:8?RGAV^3:61YhWehd<84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0110[LHQW8398RaPltvgm6133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:9TECXP1807[jYk}}nb8::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?32]JJSY61;>TcRbztek631=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564;VCEZR?625]l[issl`<<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 MbmntdtXJ\YT<==<_HLU[4?5?R_eku[=)Jkfg{mQMUR]3465XAG\T=4<;_n]oqqbn0>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?>QFNW]2=72XgVf~xig6729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1200ZOI^V;2>>Q`_b{+4,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYdq!;";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><4^KMRZ7>::UdSnw'11+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4553W@D]S<7=3^m\g|.69 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>:PIOT\5<44WfUhu%?=)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0117[LHQW839?RaPcx*21,1>3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYdq!;>%Rgav050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3462XAG\T=4<<_n]`}-4.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:88RGAV^3:66YhWjs#?$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?026\MKPX9088SbQly)6*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564:PIOT\5<44WfUhu%8&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1200ZOI^V;2>>Q`_b{+3,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYdq!2";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><4^KMRZ7>::UdSnw'9(57?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3462XAG\T=4<<_n]oqq.7!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?9QFNW]2=75XgVf~x%?&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1200ZOI^V;2>>Q`_mww,46.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:88RGAV^3:66YhWe$>Q`_mww,44.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:88RGAV^3:66YhWe$<=&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1200ZOI^V;2>>Q`_mww,42.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:88RGAV^3:66YhWe$?'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0117[LHQW839?RaPltv+7,133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYk}}"?%::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?35]JJSY61;9TcRbzt)7*31=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564?R_eku[=)Jkfg{mQMUR]3462XAG\T=4<<_n]oqq.?!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?9QFNW]2=75XgVf~x%7&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1200ZOI^V;2>>Q`_mww`l133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYk}}nb=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?35]JJSY61;9TcRbztek2423PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc:=:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?35]JJSY61;9TcRbztek2623PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc:?:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?35]JJSY61;9TcRbztek2022PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc9;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><4^KMRZ7>::UdSa{{dh140>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4553W@D]S<7=3^m\hprca==?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>:PIOT\5<44WfUgyyjf5668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0117[LHQW839?RaPltvgm=133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:>TECXP1800[jYk}}nb5:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?34]JJSY61;8TcRmv(1+47>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4552W@D]S<7=2^m\g|.6!>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"ClolrbvZDR[V:;?8QFNW]2=74XgVir$<>&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_b{+54/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;16ZiXkp":>$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?027\MKPX9089SbQly)30-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZe~ 8>";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><5^KMRZ7>:;UdSnw'14+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4552W@D]S<7=2^m\g|.6> =87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>;PIOT\5<45WfUhu%<&729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_b{+7,143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:?TECXP1801[jYdq!>";>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><5^KMRZ7>:;UdSnw'5(50?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Jkfg{mQMUR]3463XAG\T=4<=_n]`}-0.?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:89RGAV^3:67YhWjs#;$9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?027\MKPX9089SbQly):*36=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dida}o}_CWP[564=VCEZR?623]l[f/1 =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>;PIOT\5<45WfUgyy&?)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_mww,47.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:89RGAV^3:67YhWe$<<&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_mww,45.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:89RGAV^3:67YhWe$<:&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_mww,43.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@m`mqcq[GSTW9:89RGAV^3:67YhWe$<8&759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HeheykySO[\_1201ZOI^V;2>?Q`_mww,7/0<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>SD@Y_0;16ZiXd|~#?$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Ngjkwi{UIY^Q?027\MKPX9089SbQcuu*7-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZjr|!?";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><5^KMRZ7>:;UdSa{{(7+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4552W@D]S<7=2^m\hpr/? =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>;PIOT\5<45WfUgyy&7)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZjr|mc:<:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?34]JJSY61;8TcRbztek2523PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZjr|mc:>:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?34]JJSY61;8TcRbztek2723PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZjr|mc:8:;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?34]JJSY61;8TcRbztek2122PQ|pdgg[fhsWzclbhjT30_\`lpX0&Ghc`~nr^@VWZ67;930\kZjr|mc9;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LaliuguWK_XS=><5^KMRZ7>:;UdSa{{dh140>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(Ejef|l|PBTQ\4552W@D]S<7=2^m\hprca==?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!BcnosewYE]ZU;<>;PIOT\5<45WfUgyyjf5668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IfijxhxTNX]P0116[LHQW839>RaPltvgm=133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%Fobcas]AQVY78:?TECXP1801[jYk}}nb5:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1146[j759>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z77>?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT==8:_n37525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^3320Yh9<;Sb?91608fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR??64]l52143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]25=7Xg8;:;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W8;3=Ra>2050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ>193\k456?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[47?9Ve:8<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU:=5?Po07236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_03;5Zi6>8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y691;Tc<9>739ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^31=0Yh98;Sb?=1618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR?=94]l5670;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP13;6[j719>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z751_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT=?7:_n3;524PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^31=0Yh90=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y6<9=TcSPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W8>;;Ra>3050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ>415\k426?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[427?Ve:9<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU:8=9Po04236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_0633Zi6?8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y6<9=Tc<6>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7Ve~x=:Q`10347>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1434[j76:>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z729>Ud=??83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT=8?8_n30525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^3652Yh9=;=R_FLG[6?XgVG^TR?:16]l5370;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x=:Q`19347>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1434[j7>9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z71:>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x8Q`10147>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1706[j759>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z71:?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT=;<:_n37525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^3560Yh9<;Sb?91618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR?924]l5270;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x8Q`18340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1612[j7688=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y6?:;Tc1668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR?830]l5446?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[4149Ve:=>9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU:;>?Po03736=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_0505Zi6:8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y6?:;Tc<=>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W8=8=Ra>6050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ>723\k416?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[4149Ve:4<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU:;>?Po0;231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_0:01Zi699;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X919>Sb?>1057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ>827\k4759>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z7?;729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W8289Ra>2050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ>827\k456?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[4>4=Ve:8<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU:4>;Po07236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_0:01Zi6>8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y60:?Tc<9>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W838;Ra>11340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1814[j7698=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y61:=Tc=R_FLG[6?XgVG^TR?636]l5456?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[4?4?Ve:=9?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT=4=8_n32125PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^3:72Yh98<=R_FLG[6?XgVG^TR?636]l5670;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP1814[j719>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z7>;>Ud=:?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT=4=8_n3;525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^3:72Yh90;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:990057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=025\k4769>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z47;>Ud=<<>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7<7^m25670<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>9Po036525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0372Yh98<7618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TRVe~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2114[j729>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z47;>Ud=;?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>==8_n34525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0372Yh91;=R_FLG[6?XgVG^TR<>34]l5466?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[774=Ve:=_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT><=:_n3264133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1563Xg8;8=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSb?>6050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=127\k470?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[774=Ve:=59<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9=>;Po00236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3301Zi6;8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y59:?Tc<:>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;;89Ra>7050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=127\k4>6?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[774=Ve:5<9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9>>?Po033522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0174Yh98;:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;88=Ra>13340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2312[j76;8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5::;Tc=R_FLG[6?XgVG^TR<=30]l5436?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[7449Ve:=;?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>?=>_n3234143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1667Xg8;3;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;88=Ra>1850?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=223\k446?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[7449Ve:?<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9>>?Po06236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3005Zi6=8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5::;Tc<8>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;88=Ra>9057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=337\k4779>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z44:SPGOF\7<9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9??;Po030522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0060Yh98>:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;999Ra>14340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2206[j76>8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5;;?Tc=R_FLG[6?XgVG^TR<<24]l54>6?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[755=Ve:=49<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9??;Po00236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3111Zi6;8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5;;?Tc<:>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;999Ra>7050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=337\k4>6?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[755=Ve:5<9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU98<9Po033522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0752Yh98;;;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;>:;Ra>10340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2534[j76:8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5<8=Tc=R_FLG[6?XgVG^TR<;16]l5426?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[726?Ve:=8?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>9?8_n3224133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1041Xg8;<=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x=R_FLG[6?XgVG^TR<;16]l5770;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2534[j729>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z439>Ud=;?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>9?8_n34525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0752Yh91;=R_FLG[6?XgVG^TR<:06]l5466?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[737?Ve:=<>84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>8>8_n3254133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1151Xg8;9=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x<:Q`101231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3733Zi69=;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:<:5057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=515\k4719>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z428>Ud=<9>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0642Yh9;;=R_FLG[6?XgVG^TR<:06]l5670;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x<:Q`14347>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2424[j719>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z428>Ud=:?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>8>8_n3;525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0642Yh90;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:<3>Sb?>0057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=587\k4768>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z421759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^06=0Yh98?:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;?29Ra>17340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP24;6[j76?8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5=0?Tc=R_FLG[6?XgVG^TR<:94]l54?6?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[73>=Ve:>=?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>87:_n3154143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]11<3Xg889;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;?29Ra>2250?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=587\k456?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[73>=Ve:8<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU994;Po07236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_37:1Zi6>8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5=0?Tc<9>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;<3=Ra>11340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP27:2[j7699=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5>1;Tc1668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR<980]l5446?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[70?9Ve:=>?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>;6>_n3204133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]12=7Xg8;>=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:?2:Sb?>8057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=693\k47>9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z4108Ud=?>>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\76Wf;9><9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9:5?Po00036=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_34;5Zi6:==87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5>1;Tc<=>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;<3=Ra>6050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=693\k416?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[70?9Ve:4<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU9:5?Po0;231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3551Zi699;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:><>Sb?>1157?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=777\k4769>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z40>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0420Yh98<:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;==9Ra>16340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2646[j7608=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y5???Tc=R_FLG[6?XgVG^TR<864]l5766?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[711=Ve:>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>:8:_n3164133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1333Xg888=:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2646[j75=>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z40>?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>:8:_n37525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0420Yh9<;<>Sb?91618fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR<864]l5270;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2964[j7688=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y50==Tc0668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR<746]l5476?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[7>3?Ve:=??84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>5:8_n3274133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1<11Xg8;?=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x7057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=855\k47?9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z4?<>Ud=<7>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0;02Yh9;9:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;2?;Ra>25347>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2964[j75=>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z4?<>Ud=?883:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>5:8_n30525PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0;02Yh9=;=R_FLG[6?XgVG^TR<746]l5370;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2964[j7>9>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z4>:>Ud=<>>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^0:62Yh989:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;39;Ra>15340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP2804[j76=8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y51;=Tc=R_FLG[6?XgVG^TR<626]l5416?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[7?5?Ve:=5?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT>4<8_n32=4133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]1=71Xg88;=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:Q`133231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3;13Zi6:;;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X:08?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=935\k4439>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z4>:>Ud=?;>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\75mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W;39;Ra>3050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ=935\k426?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[7?5?Ve:9<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU95?9Po04236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_3;13Zi6?8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y51;=Tc<6>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7Ve~xSb?>2057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<017\k4749>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z578759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7>?5^m25070<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^1340Yh982:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W::;9Ra>18340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP3126[j7588=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y489?Tc<<>1668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR=?04]l5746?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[667=Ve:>>?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT?=>:_n3104133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0453Xg88>=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y489?Tc<<7729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7>?5^m274143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0453Xg8>:;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W::;9Ra>5050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<017\k406?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[667=Ve:;<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU8<=;Po0:236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_2231Zi618=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y481;Tc=R_FLG[6?XgVG^TR=?80]l5477?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[66?9Ve:=_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT?=6>_n3264133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]04=7Xg8;8=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x6057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<093\k4709>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z5708Ud=<6>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7>71^m25<70<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>6Wf;9<<9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU8<5?Po002522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^13<4Yh9;8:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W::3=Ra>22340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP31:2[j75<8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y481;Tc<<:1668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR=?80]l5706?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[66?9Ve:>:?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT?=6>_n31<25PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^13<4Yh9;3=R_FLG[6?XgVG^TR=?80]l5170;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>6Wf;>=:=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP31:2[j709>90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z5708Ud=5?83:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT?=6>_n3:522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^1210Yh98::;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W:;>9Ra>10240>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP3076[j7698=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y49=R_FLG[6?XgVG^TR=>54]l5456?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[672=Ve:=9?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT?<;:_n3214133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0503Xg8;==::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xSb?>9057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<147\k4479>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z56=SPGOF\7?:5^m26470<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW><9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU8=8;Po000522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^1210Yh9;>:;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W:;>9Ra>24340>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP3076[j75>8=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y49=R_FLG[6?XgVG^TR=>54]l57>6?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[672=Ve:>49<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU8=8;Po01236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_2361Zi6<8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y49729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7?:5^m224143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0503Xg8=:;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W:;>9Ra>8050?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<147\k4?6?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[645?Ve:==?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT??<8_n3255133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0671Xg8;:=::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:Q`100231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_2013Zi69:;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X;;84057?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<235\k4729>>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z55:>Ud=<8>759ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7<=7^m25270<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?9Po03:522PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQn[21^[BHCW:3TcRCZX^1162Yh9;::;95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W:89;Ra>20240>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP3304[j7598=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y4:;=Tc<<=1668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR==26]l5756?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[645?Ve:>9?84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT??<8_n3114133kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0671Xg88==::4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:Q`135231=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_2013Zi6:1;<86lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X;;8?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<235\k457?:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[645?Ve:?<9<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU8>?9Po06236=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRoT32_\CKBX;0UdS@[W_2013Zi6=8=87obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y4:;=Tc<8>729ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\7<=7^m234143kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]0671Xg82:;>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W:89;Ra>9052?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ<26]l54163kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]710Yh98=97obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\e^54UVMEHR=6_n]NQ]Y3=_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWhQ8?PQHNE]0=ZiXE\RT95?Po0346>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP593\k476?;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[0>6Wf;9=:?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x1608fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?=R_FLG[6?XgVG^TR9?5^m254153kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPaZ10YZAILV92SbQBUY]440Yh9;;<>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[d]4;TULBIQ<9^m\IP^X?9?Tc<=>709ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVkP?>SPGOF\780najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]b_65ZWNDOS>7Po^OV\Z>5?Ve:><9=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XiR98QRIAD^1:[jYJ]QU3>:Q`12346>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSlU<3\]DJAY41VeTAXVP835\k426?;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^cX76[XOGNT?4Q`_LW[[<20Wf;:=:<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x2051?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTmV=<]^EM@Z5>WfUFYUQ646]l5670:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>:;?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zg\;:WTKCJP38]l[HS_W0>=R_FLG[6?XgVG^TR7;7^m223`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQm[23^[g]49TUfyuQ<_NWW5571n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>:0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"Cbuy]a_67ZWkQ8=PQbuy]0[JSS98::;<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zd\;8WTnV=>]^ov|Z5XG\^:==??709ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP?113234=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV25575?91i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^`X74[XjR9:QRczx^1\KPR6998<<6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[g]49TUiW>?R_lw{[6YH]];:<>9?;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XjR9:QRlT30_\ip~X;VE^X?R_cY05XYj}qU8SB[[102635=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV2550082hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>=;7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\f^56UVhP?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x66g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP?104e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT0022c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV2740a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPbZ12YZd\;8WTaxvP3^MVP426>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^`X74[XjR9:QRczx^1\KPR6=8 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zd\;8WTnV=>]^ov|Z5XG\^:;<8i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XjR9:QRlT30_\ip~X;VE^X<6>6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP?904e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT3222c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV1550a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPbZ12YZd\;8WTaxvP3^MVP776>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^`X74[XjR9:QRczx^1\KPR5:8 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zd\;8WTnV=>]^ov|Z5XG\^98<8i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XjR9:QRlT30_\ip~X;VE^X?;>6g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP??R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT3522c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV1<40a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPbZ12YZd\;8WTaxvP3^MVP7?6>o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^`X74[XjR9:QRczx^1\KPR488 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zd\;8WTnV=>]^ov|Z5XG\^8><8j;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~XjR9:QRlT30_\ip~X;VE^X>=9e:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWkQ8=PQm[23^[hsW:UDYY:>6d9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVhP??R_cY05XYj}qU8SB[[604f?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTnV=>]^`X74[Xe|rT?RAZT635a>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSoU<1\]a_67ZWdsS>Q@UU:22`=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tRlT30_\f^56UVg~tR=POTV:50`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa36;65572n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k501<;8=8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3>=9?:f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg9<58?:14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=8943450`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa36;65=72n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>9j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k501<8:<8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3>>_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg9<58<=14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=8940750`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa36;66072n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>;>j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k501<83=8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3>>4?:f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg9<58=?14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=8941150`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa36;67672n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>==;>i6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k501 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zh4?0?==8k4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x3>;<;j;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~Xf:=295?:e:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg9<587>5g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVd85?7>007e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTb>7=90321c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tR`<93;2643a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPn2;1=426=o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^l0=7?6=8?m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\j6?518<:9k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zh41;3:;<;i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~Xf:395<6>5g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVd85?7>907e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTb>7=93221c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tR`<93;1543a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPn2;1=746=o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^l0=7?5;8?m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\j6?51;>:9k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zh41;399<;i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~Xf:395?8>5g9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVd85?7=707e?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)Je|rTb>7=93:21c=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tR`<93;1=43a3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPn2;1=666=o1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@czx^l0=7?498?m7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bmtz\j6?51:8:9k5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zh41;38?<;i;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~Xf:395>:>5d9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVd85?7<54g8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_eku[=)Je|rTb>7=9436a>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=628421`=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dg~tR`<93;450cPQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa380:<43b3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FaxvPn2;1=<72n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k5>;:;9=8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?:f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg92?>?;14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=6323550`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa38105272n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k5>;:8;=8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg92?><=14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=6320750`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa38106072n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>;>j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k5>;:83=8h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x4?:f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg92?>=?14d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EdsSc=6321150`PQ|pdgg[fhsWzclbhjT30_\`lpX0&GfyuQa38107672n2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>i6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 Mlw{[k5>;:?:9h5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zh41:9==8k4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IhsWg92?>7>5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HkrpVe9:<;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-Nip~Xg;=:9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/Lov|Zi508=?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Tot&?)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_tlbv|YjagxS>Q@UU]`}-7.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vir$<>&749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HurjVhP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+65/0=2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW><'85:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+67/0=2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>>'85:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+61/0=2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>8'85:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+63/0=2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>:'85:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+6=/0=2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>4'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_b{+7,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FxlPbZ12YZsii{sTad`zs^1\KPRXkp"8<$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NwpdXjR9:QR{aas{\ilhr{V9TCXZPcx*7-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYdq!?";95mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRmv(7+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[f/? =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Tot&7)668fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_tlbv|YjagxS>Q@UU]`}-?.?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vf~x%>&7c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HurjVhP?Ve~x sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)32-2ePQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYk}}":=$QFNW]333=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!;9%:m4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)30-2ePQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYk}}":?$QFNW]333=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!;?%:m4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)36-2ePQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYk}}":9$QFNW]333=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!;=%:m4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)34-2ePQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYk}}":;$QFNW]333=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!;3%:m4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)3:-2ePQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYk}}":5$QFNW]330=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!8";o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)0*[LHQW9==7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^T`xz'21+4g>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[iss ;:"SD@Y_155?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/:8#dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[iss ;8"SD@Y_155?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/::#dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[iss ;>"SD@Y_155?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/:<#dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[iss ;<"SD@Y_155?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/:>#dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[iss ;2"SD@Y_155?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/:0#7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^T`xz'3(5a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/; UBB[Q?779ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HurjVhP?i0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"C|uc]a_67ZW|dj~tQbiowp[6YH]]Ugyy&<0(]JJSY7??1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vf~x%=>)6a8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_tlbv|YjagxS>Q@UU]oqq.49 UBB[Q?749ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HurjVhP? sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRbzt)4*3g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZjr|!<"SD@Y_156?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\hpr/? =i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^T`xz'7(]JJSY7?<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vf~x%6&7c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+HurjVhP?.W@D]S=9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NwpdXjR9:QR{aas{\ilhr{V9TCXZPltv+=,1e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FxlPbZ12YZsii{sTad`zs^1\KPRXd|~#5$QFNW]330=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZ~hz!:";85mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRv`r)3*33=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'Dy~nRlT30_\qkguqVgbbx}P3^MVPZ~hz!;;%:84bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_ymq,45.??1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vrd~%?;)648fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_tlbv|YjagxS>Q@UU]{kw.6= ==7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Ttb|'17+42>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[}iu 8=";;5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRv`r)3;-20PQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYg{":5$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NwpdXjR9:QR{aas{\ilhr{V9TCXZPxnp+6,113kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%FxlPbZ12YZsii{sTad`zs^1\KPRXpfx#>='86:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,IvseWkQ8=PQzn`pz[hoi}zU8SB[[_ymq,77.??1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#@}zb^`X74[X}gkyuRcfntq\7ZIR\Vrd~%<=)648fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_tlbv|YjagxS>Q@UU]{kw.5; ==7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Ttb|'25+42>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(EziSoU<1\]vjdt~Wdcey~Q<_NWW[}iu ;?";;5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/LqvfZd\;8WTyco}y^ojjpuX;VE^XRv`r)05-20PQ|pdgg[fhsWzclbhjT30_\`lpX0&GxyoQm[23^[phfzpUfec{|_2]LQQYg{"9;$99;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-NwpdXjR9:QR{aas{\ilhr{V9TCXZPxnp+6=/0>2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?R_tlbv|YjagxS>Q@UU]{kw.49 =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Ttb|'4(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\|jt/= =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Ttb|'6(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\|jt/? =>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!Bst`\f^56UVemwPmhlvwZ5XG\^Ttb|'8(56?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)J{|hTnV=>]^wmewXe`d~R=POTV\|jt/1 2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW8:;SRQBcnosewYE]ZU;PIOT\5<50WfUgyyjf3968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?9Po^nvpao30=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY68;UTS@m`mqcq[GSTW9:9_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR??3^]\IfijxhxTNX]P0103[LHQW838;RaPltvgm3>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[463WVUFobcas]AQVY78;:TECXP1814[jYk}}nb;5:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?21]JJSY61:=TcRbztek;<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]243YXWDida}o}_CWP[5658VCEZR?636]l[issl`33?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;;;RQPMbmntdtXJ\YT<=<>_HLU[4?4>VeT`xzki968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?8Po^nvpao60=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY680UTS@m`mqcq[GSTW9:9=RGAV^3:73YhWehd<73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR??_^]Ngjkwi{UIY^Q?016\MKPX90?8SbQcuufj5=2PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\546XWVGhc`~nr^@VWZ67:8UBB[Q>924\kZjr|mc8495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:==1^KMRZ7>;?UdSa{{dh6;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^326ZYXEjef|l|PBTQ\4546W@D]S<7<6^m\hprca<2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW8;8SRQBcnosewYE]ZU;8Po^nvpao00=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY69_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?>6^]\IfijxhxTNX]P0102[LHQW838:RaPltvgm<>43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[470WVUFobcas]AQVY78;8TECXP1816[jYk}}nb495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:=5QP_LaliuguWK_XS=>=2^KMRZ7>;dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^32=ZYXEjef|l|PBTQ\4545W@D]S<7<5^m\hprca;297obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW8;TSRClolrbvZDR[V:;<8QFNW]2=04XgVf~xig74:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?=0^]\IfijxhxTNX]P0101[LHQW8389RaPltvgm6>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[446WVUFobcas]AQVY78;8TECXP1816[jYk}}nb85:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?23]JJSY61:?TcRbztek6<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]266YXWDida}o}_CWP[565:VCEZR?634]l[issl`<386lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;98RQPMbmntdtXJ\YT<=<=_HLU[4?4=VeT`xzki6:7?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_006[ZYJkfg{mQMUR]3474XAG\T=4=:_n]oqqbn01>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX9;?QFNW]2=63XgVf~xig6849ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>26]\[HeheykySO[\_1216ZOI^V;2?8Q`_mww`l770:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY6:1UTS@m`mqcq[GSTW9:9?RGAV^3:71YhWehd6;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS<<6_^]Ngjkwi{UIY^Q?031\MKPX909?SbQcuufj5=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\57YXWDida}o}_CWP[567=VCEZR?653]l[issl`;386lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;8?R_eku[=)XWVRGB@QP_012[ZYJkfg{mQMUR]3475XAG\T=4=;_n]oqqbn;1>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX9:8TSRClolrbvZDR[V:;>>QFNW]2=62XgVf~xig;859ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>32]\[HeheykySO[\_1217ZOI^V;2?9Q`_mww`l3?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\560XWVGhc`~nr^@VWZ67::UBB[Q>926\kZjr|mc3495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:?:QP_LaliuguWK_XS=>=3^KMRZ7>;=UdSa{{dh;;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^3033kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[427WVUFobcas]AQVY78;>TECXP1810[jYk}}nb=5:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?25]JJSY61:9TcRbztek1<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]207YXWDida}o}_CWP[565?R_eku[=)XWVRGB@QP_067[ZYJkfg{mQMUR]3472XAG\T=4=<_n]oqqbn=1>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX9=?TSRClolrbvZDR[V:;>9QFNW]2=65XgVf~xig9859ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>47]\[HeheykySO[\_1210ZOI^V;2?>Q`_mww`l1?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\51?XWVGhc`~nr^@VWZ67:=UBB[Q>921\kZjr|mc:<5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?6^KMRZ7>=8UdSa{{dh3;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^364ZYXEjef|l|PBTQ\4543W@D]S<7<3^m\hprca8;3?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;>=RQPMbmntdtXJ\YT<=<:_HLU[4?4:VeT`xzki968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?:4^]\IfijxhxTNX]P0106[LHQW838>RaPltvgm6>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[432WVUFobcas]AQVY78;?TECXP1811[jYk}}nb85:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?24]JJSY61:8TcRbztek6<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]212YXWDida}o}_CWP[565=VCEZR?633]l[issl`<386lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;>4RQPMbmntdtXJ\YT<=<:_HLU[4?4:VeT`xzki6:7?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_07:[ZYJkfg{mQMUR]3473XAG\T=4==_n]oqqbn0190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX9_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?90^]\IfijxhxTNX]P0106[LHQW838>RaPltvgm<>23kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[406WVUFobcas]AQVY78;?TECXP1811[jYk}}nb==6:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS<8=_^]Ngjkwi{UIY^Q?037\MKPX9099SbQcuufj54>43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[404WVUFobcas]AQVY78; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU::9QP_LaliuguWK_XS=>=6^KMRZ7>;8UdSa{{dh3;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^351ZYXEjef|l|PBTQ\4541W@D]S<7<1^m\hprca;2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW8<=SRQBcnosewYE]ZU;?Po^nvpao30=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY6>1UTS@m`mqcq[GSTW9:9:RGAV^3:74YhWehd;74:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?99^]\IfijxhxTNX]P0105[LHQW838=RaPltvgm3>53kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[40XWVGhc`~nr^@VWZ678>UBB[Q>942\kZjr|mc386lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;<?R_eku[=)XWVRGB@QP_052[ZYJkfg{mQMUR]3470XAG\T=4=>_n]oqqbn01>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX9>8TSRClolrbvZDR[V:;>;QFNW]2=67XgVf~xig6849ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>72]\[HeheykySO[\_1212ZOI^V;2?849ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>74]\[HeheykySO[\_1212ZOI^V;2?PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\52>XWVGhc`~nr^@VWZ67:>UBB[Q>922\kZjr|mc9495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:;4QP_LaliuguWK_XS=>=7^KMRZ7>;9UdSa{{dh1;7>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^34[ZYJkfg{mQMUR]3451XAG\T=4;?_n]oqqbn91>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX91:TSRClolrbvZDR[V:;>:QFNW]2=66XgVf~xig;859ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ>80]\[HeheykySO[\_1213ZOI^V;2?=Q`_mww`l3?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\5=2XWVGhc`~nr^@VWZ67:>UBB[Q>922\kZjr|mc3495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:48QP_LaliuguWK_XS=>=7^KMRZ7>;9UdSa{{dh;;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^3;2ZYXEjef|l|PBTQ\4540W@D]S<7<0^m\hprca8:396lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV;3;RQPMbmntdtXJ\YT<=<8_HLU[4?48VeT`xzki03;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^3;8VUTAnabp`p\FPUX8983SD@Y_0;1=ZiXd|~oe<6;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS<7>_^]Ngjkwi{UIY^Q?03:\MKPX9082SbQcuufj6=2PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\5<4XWVGhc`~nr^@VWZ67:1UBB[Q>93;\kZjr|mc8495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU:5>QP_LaliuguWK_XS=>=8^KMRZ7>:0UdSa{{dh6;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^3:0ZYXEjef|l|PBTQ\454?W@D]S<7=9^m\hprca<2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW83>SRQBcnosewYE]ZU;WfUgyyjf6968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?UTS@m`mqcq[GSTW9:94RGAV^3:6_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR?68^]\IfijxhxTNX]P010;[LHQW8395RaPltvgm<>23kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[4?>WVUFobcas]AQVY78;2TECXP180:[jYk}}nb==6=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS<7P_^O`khvfzVH^_R>?09]JJSY61=3TcRbztek;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^034ZYXEjef|l|PBTQ\454?W@D]S<7=9^m\hprca8;396lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV8;=RQPMbmntdtXJ\YT<=<7_HLU[4?51VeT`xzki00;1>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^036ZYXEjef|l|PBTQ\454?W@D]S<7=9^m\hprca893?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV8;?RQPMbmntdtXJ\YT<=<6_HLU[4?50VeT`xzki968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[760WVUFobcas]AQVY78;3TECXP180;[jYk}}nb85:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x=6P_^O`khvfzVH^_R>?28]JJSY61;2TcRbztek6<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]14WfUgyyjf1968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<>2^]\IfijxhxTNX]P010:[LHQW8394RaPltvgm<>23kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[774WVUFobcas]AQVY78;3TECXP180;[jYk}}nb==6:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS??;_^]Ngjkwi{UIY^Q?03;\MKPX9083SbQcuufj54>23kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[772WVUFobcas]AQVY78;3TECXP180;[jYk}}nb=?6:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS??9_^]Ngjkwi{UIY^Q?03;\MKPX9083SbQcuufj56>43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[770WVUFobcas]AQVY78::TECXP1804[jYk}}nb495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU9=5QP_LaliuguWK_XS=><0^KMRZ7>:>UdSa{{dh3;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^02=ZYXEjef|l|PBTQ\4557W@D]S<7=7^m\hprca;287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;;TSRClolrbvZDR[V:;<5QFNW]2=1?XgVf~xig=859ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ=21]\[HeheykySO[\_1204ZOI^V;2>:Q`_mww`l5?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\675XWVGhc`~nr^@VWZ67;9UBB[Q>935\kZjr|mc=495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU9>9QP_LaliuguWK_XS=><0^KMRZ7>:>UdSa{{dh5;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^011ZYXEjef|l|PBTQ\4557W@D]S<7=7^m\hprca12?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;8=SRQBcnosewYE]ZU;<>>PIOT\5<40WfUgyyjf9978fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?1978fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<<0^]\IfijxhxTNX]P0113[LHQW839;RaPltvgm45?;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x>=P_^O`khvfzVH^_R>?30]JJSY61;_HLU[4?5>VeT`xzki2:7?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_315[ZYJkfg{mQMUR]3467XAG\T=4<9_n]oqqbn<1>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX::=TSRClolrbvZDR[V:;?;Q`_mww`l0?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>?08]JJSY61=2TcRbztek;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^074ZYXEjef|l|PBTQ\4556W@D]S<7=6^m\hprca12?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;>:SRQBcnosewYE]ZU;<>?PIOT\5<41WfUgyyjf9978fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?1978fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?3978fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<;8^]\IfijxhxTNX]P0111[LHQW8399RaPltvgm4>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[72>WVUFobcas]AQVY78:8TECXP1806[jYk}}nb>5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x9QP_LaliuguWK_XS=>?9^KMRZ7><1UdSa{{dh3;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^064ZYXEjef|l|PBTQ\4555W@D]S<7=5^m\hprca:2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;?:SRQBcnosewYE]ZU;<>RGAV^3:60YhWehd874:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<:4^]\IfijxhxTNX]P0111[LHQW8399RaPltvgm2>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[732WVUFobcas]AQVY78:8TECXP1806[jYk}}nb45:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x88P_^O`khvfzVH^_R>?33]JJSY61;?TcRbztek:<0=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]112YXWDida}o}_CWP[564:VCEZR?624]l[issl`;;485mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU995QP_LaliuguWK_XS=><2^KMRZ7>:5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU99RQPMbmntdtXJ\YT<=>6_HLU[4?30VeT`xzki3:6?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_343[ZYJkfg{mQMUR]3464XAG\T=4<:_n]oqqbn9:2>7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;<:SRQBcnosewYE]ZU;<>?R_eku[=)XWVRGB@QP_340[ZYJkfg{mQMUR]3465XAG\T=4<;_n]oqqbn0=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY5>=UTS@m`mqcq[GSTW9:8?RGAV^3:61YhWehd?74:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<95^]\IfijxhxTNX]P0110[LHQW8398RaPltvgm7>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[701WVUFobcas]AQVY78:9TECXP1807[jYk}}nb?5:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x;9P_^O`khvfzVH^_R>?32]JJSY61;>TcRbztek7<1=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]12=YXWDida}o}_CWP[564;VCEZR?625]l[issl`?386lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV8=5RQPMbmntdtXJ\YT<==<_HLU[4?5?R_eku[=)XWVRGB@QP_34\[ZKdgdzj~RLZS^234_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<81^]\IfijxhxTNX]P0110[LHQW8398RaPltvgm=>33kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[715WVUFobcas]AQVY78:9TECXP1807[jYk}}nb55;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:=P_^O`khvfzVH^_R>?32]JJSY61;>TcRbztek24=3PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\622XWVGhc`~nr^@VWZ67;:UBB[Q>936\kZjr|mc:=5;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:;P_^O`khvfzVH^_R>?32]JJSY61;>TcRbztek26=3PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\620XWVGhc`~nr^@VWZ67;:UBB[Q>936\kZjr|mc:?5;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x:9P_^O`khvfzVH^_R>?32]JJSY61;>TcRbztek20=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\62?XWVGhc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc3>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV8PIOT\5<20WfUgyyjf859ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ=81]\[HeheykySO[\_1200ZOI^V;2>>Q`_mww`l7?<2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\6=5XWVGhc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc?495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU949QP_LaliuguWK_XS=><4^KMRZ7>::UdSa{{dh7;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^0;1ZYXEjef|l|PBTQ\4553W@D]S<7=3^m\hprca?2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;2=SRQBcnosewYE]ZU;<>:PIOT\5<44WfUgyyjf7968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<79^]\IfijxhxTNX]P0117[LHQW839?RaPltvgm46?;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>Ve~x4>P_^O`khvfzVH^_R>?35]JJSY61;9TcRbztek25=3PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\6<7XWVGhc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc:>5;4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x4?35]JJSY61;9TcRbztek27=3PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\6<5XWVGhc`~nr^@VWZ67;=UBB[Q>931\kZjr|mc:85=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x4;P_^O`khvfzVH^_R>?34]JJSY61;8TcRbztek;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^0:2ZYXEjef|l|PBTQ\4552W@D]S<7=2^m\hprca82?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW;3;PIOT\5<45WfUgyyjf2968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR<6_^]Ngjkwi{UIY^Q?002\MKPX90>PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\756XWVGhc`~nr^@VWZ67;930\kZjr|mc>495mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU8<<5^KMRZ7>:;UdSa{{dh4;0>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^136ZYXEjef|l|PBTQ\4552W@D]S<7=2^m\hprca>2?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW::8SRQBcnosewYE]ZU;<>;PIOT\5<45WfUgyyjf8968fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?0<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY48?Q`_mww`l760<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY48>UTS@m`mqcq[GSTW9:89RGAV^3:67YhWehd?=849ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ<09]\[HeheykySO[\_1201ZOI^V;2>?Q`_mww`l740<1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY480UTS@m`mqcq[GSTW9:89RGAV^3:67YhWehd?;829ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ<0^]\IfijxhxTNX]P0133[LHQW83?;RaPltvgm6>23kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[677WVUFobcas]AQVY78:?TECXP1801[jYk}}nb=86<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS>?P_^O`khvfzVH^_R>?11]JJSY61==TcRbztek7<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]06ZYXEjef|l|PBTQ\4576W@D]S<7;6^m\hprca190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX;:UTS@m`mqcq[GSTW9::=RGAV^3:03YhWehd?73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR=;_^]Ngjkwi{UIY^Q?003\MKPX90>=SbQcuufj6=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\70YXWDida}o}_CWP[5669VCEZR?647]l[issl`93?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV9=SRQBcnosewYE]ZU;<43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[6>XWVGhc`~nr^@VWZ679;UBB[Q>957\kZjr|mc:4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU85RQPMbmntdtXJ\YT<=?=_HLU[4?3=VeT`xzki3:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_52\[ZKdgdzj~RLZS^2357YNF_U:59;Po^nvpao40:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY39VUTAnabp`p\FPUX89;9SD@Y_0;71ZiXd|~oe96<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS9?13]JJSY61=?TcRbztek6<7=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]77ZYXEjef|l|PBTQ\4574W@D]S<7;4^m\hprca190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX<=UTS@m`mqcq[GSTW9::?RGAV^3:01YhWehd?73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR::_^]Ngjkwi{UIY^Q?001\MKPX90>?SbQcuufj6=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\03YXWDida}o}_CWP[566;VCEZR?645]l[issl`93?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV>WVUFobcas]AQVY788>TECXP1860[jYk}}nb4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU>?R_eku[=)XWVRGB@QP_43\[ZKdgdzj~RLZS^2351YNF_U:59=Po^nvpao50:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY2:VUTAnabp`p\FPUX89;?SD@Y_0;77ZiXd|~oe>6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS8=P_^O`khvfzVH^_R>?15]JJSY61=9TcRbztek7<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]60ZYXEjef|l|PBTQ\4573W@D]S<7;3^m\hprca<287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXWRaPltvgm=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\12YXWDida}o}_CWP[566=VCEZR?643]l[issl`;3?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV?3SRQBcnosewYE]ZU;<<;PIOT\5<25WfUgyyjf2918fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x>5^KMRZ7><;UdSa{{dh7;7>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^41[ZYJkfg{mQMUR]3443XAG\T=4:=_n]oqqbn>180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX>:UTS@m`mqcq[GSTW9:::RGAV^3:04YhWehd6<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-\[Z^KFDUTS;:P_^O`khvfzVH^_R>?17]JJSY61=;TcRbztek2<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]51ZYXEjef|l|PBTQ\4571W@D]S<7;1^m\hprca;287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW?43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[3>XWVGhc`~nr^@VWZ679?UBB[Q>953\kZjr|mc>4>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU=5RQPMbmntdtXJ\YT<=?9_HLU[4?39VeT`xzki7:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_62\[ZKdgdzj~RLZS^2353YNF_U:59?Po^nvpao00;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY09VUTAnabp`p\FPUX89;Ve~x>7^KMRZ7><9UdSa{{dh3;7>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^50[ZYJkfg{mQMUR]3441XAG\T=4:?_n]oqqbn:190najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX?=UTS@m`mqcq[GSTW9::;RGAV^3:05YhWehd=73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR9:_^]Ngjkwi{UIY^Q?005\MKPX90>;SbQcuufj0=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\33YXWDida}o}_CWP[566?VCEZR?641]l[issl`?3?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV=WVUFobcas]AQVY7882TECXP181:[jYk}}nb4<5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU43kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%TSRVCNL]\[=7XWVGhc`~nr^@VWZ6791UBB[Q>92;\kZjr|mc94>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/^]\\IHJWVU3>RQPMbmntdtXJ\YT<=?7_HLU[4?41VeT`xzki2:0?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)XWVRGB@QP_91\[ZKdgdzj~RLZS^235=YNF_U:5>7Po^nvpao30:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#RQPXMLN[ZY??19]JJSY61:3TcRbztek5<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^];2ZYXEjef|l|PBTQ\457?W@D]S<7<9^m\hprca>287obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW1=TSRClolrbvZDR[V:;=5QFNW]2=6?XgVf~xig7839ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+ZYXPEDFSRQ78^]\IfijxhxTNX]P013:[LHQW8384RaPltvgm=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\<QFNW]2=02XgVf~xig73:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,[ZY_DGGTSR7?_^]Ngjkwi{UIY^Q?00;\MKPX9093SbQcuufj6=5PQ|pdgg[fhsWzclbhjT30_\`lpX0&UTSUBAM^]\=4YXWDida}o}_CWP[5661VCEZR?639]l[issl`93?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 _^][HKKXWV39SRQBcnosewYE]ZU;<<7PIOT\5<5?WfUgyyjf4918fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?Ve~x>9^KMRZ7>;1UdSa{{dh5;7>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(WVUS@CCP_^;5[ZYJkfg{mQMUR]344?XAG\T=4=7_n]oqqbn0180najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"QP_YNMIZYX1>UTS@m`mqcq[GSTW9:9?21]JJSY61:=TcRbztek2<6=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'VUTTA@B_^]:=ZYXEjef|l|PBTQ\4547W@D]S<7<7^m\hprca;2:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!P_^ZOJHYXW0UTS@m`mqcq[GSTW9:;8RGAV^3:16YhWehd8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z77> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5501Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP114;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR??68]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT==9?_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;;;7Po^OV\Z760;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\54>4Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP10:6[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?>87]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=<68_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;:45Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X9;3>Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z751?Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\57?0Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP13;:[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?<01]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=>>>_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;87Po^OV\Z738>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\516?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1533[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?;10]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=9?=_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;?=>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X9=;?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z739 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5171Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP143;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?:18]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=8?R_eku[=)fS:9VSJ@K_2;\kZKRPV;>>7Po^OV\Z72::Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5043Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1405[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?:26]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=;<:_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;=>;Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X9?87Po^OV\Z71:1Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\534>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1712[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?933]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=;=<_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;=?9Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X9?9>Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z71;?Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5256Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1610[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?835]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=:=:_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;97Po^OV\Z70;1Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\525>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1662[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?843]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=::<_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;3?8Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X919=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z7?;>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5=5?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1963[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?740]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=5:=_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;38>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X91>?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z7?< sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5=21Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP196;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?636]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=4=7_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;2?4Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X90>;Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z7><8Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5<25Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP1867[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR?644]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT=4:9_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV;28:Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X90>3Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z7><0Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\5<37Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2114[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR==6_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8;8=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:9>:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z47<;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6524Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2166[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=:8_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8;85Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:9>2Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z47=9Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6536Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2016[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<>37]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT><=8_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8:?5Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:892Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z46<9Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6426Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2060[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<>45]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT><::_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8:8;Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:8>7Po^OV\Z46<1Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\642>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2072[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<=30]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>?==_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV89?>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:;9?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z45; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6751Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP231;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<=38]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>?:?_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8989Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z45<:Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6723Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2365[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<=46]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>?:7_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV88>8Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X::8=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z44:>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\664?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2213[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<<30]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>>==_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV88?>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X::9?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z44; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6651Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP221;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<<38]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>>:?_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8889Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z44<:Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6170Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP253:[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<;21]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>9<>_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8?>?Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:=88Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z43:=Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6142Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2504[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<;29]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>9<6_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8??=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:=9:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z43;;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6154Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2516[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<;37]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>8>8_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8><5Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:<:2Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4299Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6076Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2430[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<:15]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>8?:_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8>=;Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:<;7Po^OV\Z4291Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\607>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2402[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<:23]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>8<<_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8>>9Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:<8>Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z42:?Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6040Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP24;5[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<:96]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>877_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8>54Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:?:;Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4188Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6365Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2727[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<904]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>;>9_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8=<:Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:?:3Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4180Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6377Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2731[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<912]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>;?;_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8==8Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:?;=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4108Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\63>5Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP27:7[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<984]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>;69_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8=4:Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:?23Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4100Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\63?7Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP27;1[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<992]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>;7;_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8=58Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:?3=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z411>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\63??Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2623[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<800]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>:>=_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8<<>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:><>Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z40>?Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6200Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP264:[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<871]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>:9>_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8<;?Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:>=8Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z40?=Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6212Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2654[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<879]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>:96_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8<4=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:>2:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z400;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\62>4Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP26:6[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<887]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>:68_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8<45Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:1>7Po^OV\Z4?<1Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6=2>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2972[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<753]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>5;<_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8399Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:1?>Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4?=?Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6=30Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP297:[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<761]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>58>_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV83:?Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:1<8Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4?>=Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6=02Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2944[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<769]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>586_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV83;=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:1=:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4>:>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6<4?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2813[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<630]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>4==_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV82?>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:09?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4>; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6<51Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP281;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<638]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>4:?_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8289Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4><:Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6<23Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP2865[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR<646]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT>4:7_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV8284Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X:0?;Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z4>=8Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\6<35Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3125[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?06]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=>7_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;<4Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;9;;Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z5798Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7575Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3137[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?14]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=?9_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;=:Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;9;3Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z5790Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7547Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3101[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?22]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=<;_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;>8Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;98=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z57:>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\754?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3113[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?30]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=6>_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;4?Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;928Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z570=Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\75>2Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP31:4[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?89]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=66_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;5=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;93:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z571;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\75?4Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP31;6[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=?97]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?=78_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9;55Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;932Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z5689Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7466Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3020[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=>05]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?<>:_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9:<;Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;8:7Po^OV\Z5681Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7432Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3074[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=>59]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?<;6_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9::=Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;8<:Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z56>;Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7404Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3046[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=>67]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?<88_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9::5Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;8<2Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z56?9Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7416Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3050[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=>75]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?<9:_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9:;;Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;8=7Po^OV\Z56?1Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\741>Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP30:2[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR=>83]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT?<6<_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV99>:Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;;83Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z55:0Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7757Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3311[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR==32]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT??=;_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV99?8Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;;9=Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z55;>Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\775?Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3363[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR==40]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT??:=_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV998>Q`6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X;;>?Sb8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z55< sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7721Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP336;[j0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR==48]l2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT??;?_n4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSJ@K_2;\kZKRPV9997Po^OV\Z55=:Ud:o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\7733Wfk1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP3375[j0f3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR==7^m5e>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(iR98QRIAD^1:[jYJ]QU?98Q`6`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X<<Ve~x6WfWfUFYUQ:82]l2d=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXE\RT;=;Po7c8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?:=Sb8n;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^OV\Z17?Ve=m6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 aZ10YZAILV92SbQBUY]44=Yh>h1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTAXVP835\k3gPQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7?R_eku[=)fS:9VSJ@K_2;\kZKRPV295Ra9a:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,e^54UVMEHR=6_n]NQ]Y?;9Ud:l5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPMTZ\<67Xg?k0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"oT32_\CKBX;0UdS@[W_864[j0f3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVG^TR7;8^m5e>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(iR98QRIAD^1:[jYJ]QU284Q`6`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TULBIQ<9^m\IP^X1<:Tc;o4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xWfUjhiQ81^MVP^5429W#=$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*24,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVkohR9>_NWW_65=8T":=$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*26,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVkohR9>_NWW_65=8T":?$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*20,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVkohR9>_NWW_65=8T":9$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*22,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVkohR9>_NWW_65=8T":;$9:;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*2<,123kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_FLG[6?XgVkohR9>_NWW_65=8T":5$9;;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWNDOS>7Po^cg`Z16WF__W>=50\*1-23PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7Ve~x4?])02-23PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7Ve~x4?])00-23PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7Ve~x4?])06-23PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7Ve~x4?])04-23PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7Ve~x4?])0:-22PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPGOF\7 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPaef\34YH]]Q8?7>R(22*30=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXimnT; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPaef\34YH]]Q8?7>R(20*30=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQHNE]0=ZiXimnT; sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XY@FMU85RaPaef\34YH]]Q8?7>R(5+40>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(iR98QRIAD^1:[jYflmU<=RAZTZ10>5[/= =?7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!n[21^[BHCW:3TcRokd^52[JSSS:91;TCXZT3283Y-1.?=1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]DJAY41VeTmijP70]LQQ]4;3:V$5'84:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,e^54UVMEHR=6_n]b`aY09VE^XV=<:1_+=,153kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_cY05XYflmU=5RAZTZ10>5[/99#<>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 aZ10YZd\;8WTmijP68]LQQ]4;3:V$?R_`fg[3?XG\^P?>4?])31-24PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPbZ12YZgclV<2SB[[[2194X.6; =97obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!n[21^[g]49TUjhiQ99^MVP^5429W#=9'82:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,e^54UVhP?R(07*37=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQm[23^[dbcW?3TCXZT3283Y-71!>80najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"oT32_\f^56UVkohR86_NWW_65=8T":;$9=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWkQ8=PQnde]5=ZIR\R986=S'19+46>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(iR98QRlT30_\eabX>0UDYYU<3;2^,4?.?81i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#lU<3\]a_67ZWhnoS;7POTVX76<7U!8";?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XYeS:;VSljk_7;\KPR\;:0;Q%]^cg`Z0>WF__W>=50\*15,153kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_cY05XYflmU=5RAZTZ10>5[/:;#<>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 aZ10YZd\;8WTmijP68]LQQ]4;3:V$?=&739ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+d]4;TUiW>?R_`fg[3?XG\^P?>4?])07-24PQ|pdgg[fhsWzclbhjT30_\`lpX0&kP?>SPbZ12YZgclV<2SB[[[2194X.5= =97obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!n[21^[g]49TUjhiQ99^MVP^5429W#>;'82:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,e^54UVhP?R(35*37=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'hQ8?PQm[23^[dbcW?3TCXZT3283Y-4?!>80najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"oT32_\f^56UVkohR86_NWW_65=8T"95$9>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWkQ8=PQnde]5=ZIR\R986=S'3(51?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSoU<1\]b`aY11VE^XV=<:1_+75/0:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/`Y07XYeS:;VSljk_7;\KPR\;:0;Q%==)608fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?]^cg`Z0>WF__W>=50\*07,163kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_cY05XYflmU=5RAZTZ10>5[/< =:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!n[21^[g]49TUjhiQ99^MVP^5429W#9$9>;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-b_65ZWkQ8=PQnde]5=ZIR\R986=S'6(52?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)fS:9VSoU<1\]b`aY11VE^XV=<:1_+3,163kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%jW>=R_cY05XYflmU=5RAZTZ10>5[/0 =:7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!n[21^[g]49TUjhiQ99^MVP^5429W#5$8=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW@D]S<><5^m57>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRGAV^3370Yh9?80najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"lT30_\MKPX999=Sb8<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW@D]S<><6^m227=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQFNW]2461Xg?90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"lT30_\MKPX999_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVCEZR??39]l26=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQFNW]246>Xg8<97obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!m[23^[LHQW8:85Ra93:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVCEZR??38]l534PQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?639ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUBB[Q>053\k35PQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 bZ12YZOI^V;;8?Q`629ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUBB[Q>050\k4053kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%iW>?R_HLU[463;Ve=?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 bZ12YZOI^V;;8>Q`1708fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_HLU[463 sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYNF_U:<9;Po718fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?]^KMRZ775mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYNF_U:<98Po041?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)eS:;VSD@Y_0273Zi1;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>]^KMRZ77<>Ud=;<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)eS:;VSD@Y_02;3Zi6>;1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#oU<1\]JJSY69:2Tc;=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRGAV^32<=Yh>:1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#oU<1\]JJSY6912Tc<8=;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW@D]S<<<7^m57>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRGAV^3172Yh9?80najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"lT30_\MKPX9;2>Sb8<;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW@D]S<<75^m227=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQFNW]2764Xg?90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ob`|t`lw2Zir|8UoxnU<2\]pt`ccWjdS~ghndfX74[Xl`|T4"lT30_\MKPX9:99Sb?92:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVCEZR?<79]l26=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQFNW]272>Xg8<97obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!m[23^[LHQW8>9?Ra93:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVCEZR?;22]l534PQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?=;Ra>639ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUBB[Q>502\k35PQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 bZ12YZOI^V;>9?Q`629ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUBB[Q>540\k4053kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%iW>?R_HLU[43>;Ve=?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 bZ12YZOI^V;>5>Q`1708fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP??R_HLU[404;Ve::?5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYNF_U:::]^KMRZ7099Ud:>5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYNF_U:;<>Po041?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)eS:;VSD@Y_0573Zi1;2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&Idb~znnu4\kpr6Wm~hW>]^KMRZ70<>Ud=;<4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x?R_eku[=)eS:;VSD@Y_05;7Zi6>h1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#oU<1\]a_67ZWdsS>Q@UU*2-3dPQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRlT30_\ip~X;VE^X%?>)7`8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?]^ov|Z5XG\^#=?'9b:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVhP?Ve~x]^`X74[Xe|rT?RAZT)34-3dPQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRlT30_\ip~X;VE^X%?6)7c8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?]^ov|Z5XG\^#>$8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZWkQ8=PQbuy]0[JSS ;:":o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYeS:;VS`{w_2]LQQ.59 k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#oU<1\]a_67ZWdsS>Q@UU*17,0e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%iW>?R_cY05XYj}qU8SB[[(36*2g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQm[23^[hsW:UDYY&=5(4a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)eS:;VSoU<1\]nq}Y4WF__$?8&6c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUiW>?R_lw{[6YH]]"9;$8m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZWkQ8=PQbuy]0[JSS ;2":o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYeS:;VS`{w_2]LQQ.51 ]^`X74[Xe|rT?RAZT)13-3dPQ|pdgg[fhsWzclbhjT30_\`lpX0&hP?dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(jR9:QRlT30_\ip~X;VE^X%:&6`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TUiW>?R_lw{[6YH]]">%;o4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x ]^`X74[Xe|rT?RAZT):*2d=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'kQ8=PQm[23^[hsW:UDYY&6)7a8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?&6b9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+g]49TU~bl|v_lkmqvY4WF__$<'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=='9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=<'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=?'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=>'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=9'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=8'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=;'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=:'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=5'9d:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#=4'9c:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,f^56UVemwPmhlvwZ5XG\^#>$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9<$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9=$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9>$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9?$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"98$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"99$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9:$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"9;$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"94$8k;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"95$8l;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-a_67ZW|dj~tQbiowp[6YH]]"8%;j4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xVe~xVe~x":n5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/cY05XYrfhxrS`gaur]0[JSS <#=o6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 bZ12YZsii{sTad`zs^1\KPR/> .>j1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#oU<1\]vjdt~Wdcey~Q<_NWW,965)3*1g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g9<58&>0(7a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;>3>$$;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m72?2 89"9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o14=0.6< ?i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!a36;6,43.=k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=894*22,3e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%e?:7:(05*1g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g9<58&>8(7a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;>3>$<7&5`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k501<"9%8l4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xn6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n25:1-46!965)00-0dPQ|pdgg[fhsWzclbhjT30_\`lpX0&d8;4;'25+6f>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(f:=29%<:)4`8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?;':b:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,j61>=!8<%8l4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xn6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n25:1-4>!?R_eku[=)i;>3>$><&5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k501<"8?$;n;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m72?2 =#>m6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n25:1-3.=h1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=894*5-0gPQ|pdgg[fhsWzclbhjT30_\`lpX0&d8;4;'7(7b?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;>3>$5':a:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,j61>=!3"9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o1:6<.68 ?i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!a380:,47.=k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=628*26,3e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%e?4<6(01*1g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g92>4&>4(7a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;082$<;&5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k5>:0"::$;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m7<4> 8="9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o1:6<.60 ?i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!a380:,4?.=k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=628*14,3e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%e?4<6(33*1g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g92>4&=2(7a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;082$?=&5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k5>:0"98$;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m7<4> ;?"9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o1:6<.5> ?i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!a380:,71.=k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=628*1<,3e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%e?4<6(3;*1d=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g92>4&<)4`8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,j6?51!9:%8l4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xn6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n2;1=-54!dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(f:395%8&5`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k5>:0"<%8o4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~x7<3)33-0dPQ|pdgg[fhsWzclbhjT30_\`lpX0&d85>='10+6f>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(f:38?%?=)4`8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?':b:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Aljvrff}_ev`_64ZWzzniiQlnu]pmbhblR9:QRjfv^:,j6?4;!;?%8l4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Cnlppdhs>Ve~xn6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n2;07-71!7<3)3;-0dPQ|pdgg[fhsWzclbhjT30_\`lpX0&d85>='18+6e>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(f:38?%<&5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k5>;:"9<$;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m7<54 ;;"9o5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o1:76.5: ?i7obkc^qjiZ`wohUs<"}edf\asgkWkes#Naasucmp3Yh}};ThymT33_\wucblViexR}fgogg_67ZWmc}S5!a3810,75.=k1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=632*10,3e3kfooR}fm^dscdY8&y{ihjPewco[gi'Jeeyoat7]lqq7Xl}iP??SPsqgf`Zei|Vybkckk[23^[aoqW1%e?4=<(37*1g=edmiTdcPfqeb[}6({yonhRkyam]ak})Dggymcz9_nww5ZbskR99QR}edf\gkrX{`meiiU<1\]gmsY?'g92?>&=6(7a?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;098$?9&5c9ahaeX{`gTj}in_y2,wucblVo}maQmoy-@kkusig~=Sb{{1^fwg^55UVy{ihjPcov\wlaimmQ8=PQkiw];+k5>;:"94$;m;cnggZuneVl{klQw0.qsa`bXmkgSoaw/Bmmwqgi|?Udyy?PduaX77[X{yonhRmat^qjckccS:;VSigy_9-m7<54 ;3"9l5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Looqwekr1Wf=Rj{cZ11YZuwmlnToczPshemaa]49TUoe{Q7/o1:76.4!7<3)12-0dPQ|pdgg[fhsWzclbhjT30_\`lpX0&d85>='33+6f>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Ehfz~jby8Potv2[ardS:8VS~~jee]`jqYtandnhV=>]^fjrZ>(f:38?%=<)4c8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,Gjht|hd:Razt0]gpf]4:TUx|hkk_blw[vo`flnP?m6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"M`nrvbjq0Xg|~:Sizl[20^[vvbmmUhbyQ|iflf`^56UVnbzR6 n2;07-0.=h1i`imPsho\buafWq:$}kjd^gueiYegq%Hcc}{aov5[jss9VnoV==]^qsa`bXkg~TdiaeeY05XYcaU3#c=632*4-0gPQ|pdgg[fhsWzclbhjT30_\`lpX0&d85>='8(7b?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Fii{}kex;Q`uu3\`qe\;;WT}kjd^ampZunogooW>?R_eku[=)i;098$4'=f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Fjrlbb:Ve~x<&?)3d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,@lpnll8Tcxz>(0+04>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Bn~`nn>Razt0*24,573kfooR}fm^dscdY8&y{ihjPewco[gi'Mc}eik=_nww5-76!::0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Hdxfdd0\kpr6 88"?=5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Kiwkga7Yh}};#=>'<0:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Fjrlbb:Ve~x<&>4(13?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Aoqamo9Sb{{1)36-66#9j6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"Jfvhff6Zir|8"9%?h4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Dhtj``4Xg|~:$>'=f:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Fjrlbb:Ve~x<&;)3d8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,@lpnll8Tcxz>(4+1b>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Bn~`nn>Razt0*5-7`6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"Cbuy]Gmsocm;Udyy?>329ahaeX{`gTj}in_y2,wucblVo}maQmoy-Nip~XL`|bhhS^Y?329ahaeX{`gTj}in_y2,wucblVo}maQmoy-Nip~XL`|bhhRazt00\WR64:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GfyuQKiwkga7Yh}};8?;5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Bmtz\@lpnll8Tcxz>3^QT464dkljUxe`Qipfc\|5)txlooShxnl^`l|*Kj}qUOe{gke3]lqq72;?1i`imPsho\buafWq:$}kjd^gueiYegq%FaxvPDhtj``4Xg|~:9R]X0208fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,IhsWMc}eik=_nww53513kfooR}fm^dscdY8&y{ihjPewco[gi'Dg~tRJfvhff6Zir|8<2:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.Onq}YCacoi?Q`uu3473=edmiTdcPfqeb[}6({yonhRkyam]ak})Je|rTHdxfdd0\kpr6?VY\<><4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mlw{[Aoqamo9Sb{{1915?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+HkrpVNbzdjj2^mvp4>X[^:8>6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"Cbuy]Gmsocm;Udyy?6379ahaeX{`gTj}in_y2,wucblVo}maQmoy-Nip~XL`|bhh)2;8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,IvseWZixolt^mvp4Ydq!;9%>74bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]`}-74!:30najl_rkn[cv`iVr;#~~jee]frdjXjfr$A~{m_Rwapwgd|Ve~x74bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]`}-70!:30najl_rkn[cv`iVr;#~~jee]frdjXjfr$A~{m_Rwapwgd|Ve~x_b{+0,5?3kfooR}fm^dscdY8&y{ihjPewco[gi'Dy~nR]zbupbgqYh}};Tot&:)2:8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,IvseWZixolt^mvp4Ydq!<"?55mlea\wlkXnymjSu> sqgf`ZcqieUicu!Bst`\WpdszhiSb{{1^az,2/402hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GxyoQ\ucvqefrXg|~:Snw'8(1;?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+HurjVY~ny|ncu]lqq7Xkp"2%>o4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]oqq.68 9j7obkc^qjiZ`wohUs<"}edf\asgkWkes#@}zb^Qvfqtfk}Udyy?Pltv+54/4i2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GxyoQ\ucvqefrXg|~:Sa{{(00*7d=edmiTdcPfqeb[}6({yonhRkyam]ak})J{|hT_xl{r`aw[jss9Vf~x%?<)2c8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,IvseWZixolt^mvp4Yk}}":8$=n;cnggZuneVl{klQw0.qsa`bXmkgSoaw/LqvfZUrj}xjoyQ`uu3\hpr/9<#8m6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"C|uc]Pqgruij~Tcxz>_mww,40.;h1i`imPsho\buafWq:$}kjd^gueiYegq%FxlPSt`wvdesWf=Rbzt)34-6g8(1b?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+HurjVY~ny|ncu]lqq7Xd|~#=4'<9:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.OpqgYT}k~ymnzPotv2[iss ;#856lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"C|uc]Pqgruij~Tcxz>_mww,6/412hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GxyoQ\ucvqefrXg|~:Sa{{(5+0=>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Kt}kUXyoz}abv\kpr6We$8'<9:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.OpqgYT}k~ymnzPotv2[iss ?#856lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"C|uc]Pqgruij~Tcxz>_mww,2/412hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GxyoQ\ucvqefrXg|~:Sa{{(9+0=>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Kt}kUXyoz}abv\kpr6We$4' sqgf`ZcqieUicu!Bst`\WpdszhiSb{{1^zlv-76!:k0najl_rkn[cv`iVr;#~~jee]frdjXjfr$A~{m_Rwapwgd|Ve~xdkljUxe`Qipfc\|5)txlooShxnl^`l|*Kt}kUXyoz}abv\kpr6Wqey$<:&3`9ahaeX{`gTj}in_y2,wucblVo}maQmoy-NwpdX[|h~lm{_nww5Z~hz!;>%>o4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]{kw.6> 9j7obkc^qjiZ`wohUs<"}edf\asgkWkes#@}zb^Qvfqtfk}Udyy?Pxnp+52/4i2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&GxyoQ\ucvqefrXg|~:Sua}(0:*7d=edmiTdcPfqeb[}6({yonhRkyam]ak})J{|hT_xl{r`aw[jss9Vrd~%?6)2;8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,IvseWZixolt^mvp4Yg{"9%>74bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]{kw.4!:30najl_rkn[cv`iVr;#~~jee]frdjXjfr$A~{m_Rwapwgd|Ve~x74bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Mrwa[Vse|{khxRazt0]{kw.0!:30najl_rkn[cv`iVr;#~~jee]frdjXjfr$A~{m_Rwapwgd|Ve~x?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv St`wvdesWf=%?8)238fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,WpdszhiSb{{1)3;-67!:;0najl_rkn[cv`iVr;#~~jee]frdjXjfr$_xl{r`aw[jss9!8;%>?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv St`wvdesWf=%<>)238fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,WpdszhiSb{{1)01-67?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv St`wvdesWf=%<:)238fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,WpdszhiSb{{1)05-67?4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv St`wvdesWf=%<6)238fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,WpdszhiSb{{1)13-670najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|7Xg|~:$<:&359ahaeX{`gTj}in_y2,wucblVo}maQmoy-VjlrXLlfju sqgf`ZcqieUicu!Znhv\@`jfq8Udyy?'18+00>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov1^mvp4.58 9?7obkc^qjiZ`wohUs<"}edf\asgkWkes#X`ft^Ffhd6Wf=%<>)268fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,QkosWMogmt?Potv2,74.;=1i`imPsho\buafWq:$}kjd^gueiYegq%^bdzPDdnb}4Yh}};#>>'<4:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekr=Razt0*10,533kfooR}fm^dscdY8&y{ihjPewco[gi'\dbxRJjl`{2[jss9!8>%>:4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[Ackip;Tcxz>(34*71=edmiTdcPfqeb[}6({yonhRkyam]ak})Rf`~THhbny0]lqq7/:>#886lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"[aiu]Gaig~9Ve~x<&=8(17?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Phn|VNn`lw>_nww5-4>!:>0najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|7Xg|~:$>>&359ahaeX{`gTj}in_y2,wucblVo}maQmoy-VjlrXLlfju=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[Ackip;Tcxz>_0707>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov1^mvp4Y6>:90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|7Xg|~:S<9<3:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekr=Razt0]2<65>=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[Ackip;Tcxz>_3107>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov1^mvp4Y5<:90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|7Xg|~:S?;<3:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekr=Razt0]1265=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[Ackip;Tcxz>_2307>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov_nww5-73!:90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|Yh}};#=8'<3:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekrSb{{1)35-65#8?6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"[aiu]Gaig~Wf=%?7)218fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,QkosWMogmtQ`uu3+5=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[AckipUdyy?'20+07>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov_nww5-45!:90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|Yh}};#>>'<3:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekrSb{{1)07-65=4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[AckipUdyy?'28+07>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov_nww5-57!:90najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|Yh}};#?<'<2:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.WmmqYCmekrSb{{1^3777=edmiTdcPfqeb[}6({yonhRkyam]ak})Rf`~THhbny^mvp4Y6=:80najl_rkn[cv`iVr;#~~jee]frdjXjfr$Ycg{_Egoe|Yh}};T=;==;cnggZuneVl{klQw0.qsa`bXmkgSoaw/TljpZBbdhsTcxz>_0506>dkljUxe`Qipfc\|5)txlooShxnl^`l|*Sia}UOiaov_nww5Z7?;;1i`imPsho\buafWq:$}kjd^gueiYegq%^bdzPDdnb}Zir|8U:5><4bmf`[vojWozlmRv?/rrfaaYb~hfTnbv Uokw[AckipUdyy?P2111?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+Phn|VNn`lwPotv2[774:2hghnQ|il]etbgXp9%x|hkk_dtbhZdhp&_eeyQKemcz[jss9V89??5mlea\wlkXnymjSu> sqgf`ZcqieUicu!Znhv\@`jfqVe~x6lcdb]pmhYaxnkTt=!|pdgg[`pfdVhdt"[aiu]Gaig~Wf=R<9339ahaeX{`gTj}in_y2,wucblVo}maQmoy-VjlrXLlfjuRazt0]1364;8)12-7?dkljUxe`Qipfc\|5)txlooShxnl^`l|*uwmlnToczPshemaa]49TULBIQ<8^m\atsfdV2Tc%=>)26`?gjckVybaRhg`]{4*uwmlnTi{oc_cm{+vvbmmUhbyQ|iflf`^56UVMEHR=7_n]fupgkW1Ud$>?&45a8fibdWzcfSk~ha^z3+vvbmmUnzlbPbnz,wucblViexR}fgogg_67ZWNDOS>6Po^grqdjX0Ve#?<':4b9ahaeX{`gTj}in_y2,wucblVo}maQmoy-pt`ccWjdS~ghndfX74[XOGNT?5Q`_dsveiY?Wf"8=$8;c:`o`fYtadUm|joPx1-pt`ccWl|j`Rl`x.qsa`bXkg~TdiaeeY05XY@FMU84RaPepwbhZ>Xg!9:%:?9;cnggZuneVl{klQw0.vnt<06>2hghnQ|il]etbgXp9%a}78389ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Nbjkk}eoaf[jss9!:"?45mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Bnnooyicmj_nww5-7.;h1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.FjbccumginSb{{1)33-6g1(1b?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hdhiesgmg`Yh}};#=?' tnprq`Ydgg~ycabjr^`l|*Bnnooyicmj_nww5-73!:k0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmc`bzldhiRazt0*21,5f3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv DhdeawciklUdyy?'17+0e>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#IgifdpfjfcXg|~:$<9&3`9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Nbjkk}eoaf[jss9!;3%>o4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Caoln~h`le^mvp4.61 927obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@l`am{oeohQ`uu3+6,5f3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv DhdeawciklUdyy?'21+0e>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#IgifdpfjfcXg|~:$??&3`9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Nbjkk}eoaf[jss9!89%>o4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Caoln~h`le^mvp4.5; 9j7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@l`am{oeohQ`uu3+61/412hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Ekeb`tbfjoTcxz>(2+0=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#IgifdpfjfcXg|~:$9'<9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcmjh|jnbg\kpr6 <#856lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+AoanlxnbnkPotv2,3/412hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Ekeb`tbfjoTcxz>(6+0=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#IgifdpfjfcXg|~:$5'<9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcmjh|jnbg\kpr6 0#?m6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfc-6.dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZtboVxnk%?>)5`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\v`aXzlm#=?';b:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^pfcZtbo!;8%9l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Prde\v`a/9=#?n6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfc-72!=h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde+53/3j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVxnkR|jg)34-1ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZtboVxnk%?6)5c8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\v`aXzlm#>$:m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc` ;:"8o5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQ}ef]qab.59 >i7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySkh_sgd,74.15c8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\v`aXzlmT=?:n;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W89?m6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R|jg^pfcZ73 tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQ}ef]qabY61=30najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde\61g tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQ}ef]qabY5;=k0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxT~hiPrde\612>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW{olSkh_26:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{olS9:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W<>27obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySkh_sgd[32>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW{olSkh_66:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[wc`W{olS5:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_sgd[wc`W0>37obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySxQnde*3-1> tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_`fg,47.<01i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~Sljk(00*0<=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Jfsbpfp`YSadoTEczjgscwkwYrWhno$<=&489ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]v[dbc 8>"845mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_`fg,43.<01i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~Sljk(04*0<=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Jfsbpfp`YSadoTEczjgscwkwYrWhno$<9&489ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Nbn|jtd]WmhcXAg~nko{os]v[dbc 82"845mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQz_`fg,4?.<11i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Fjwftb|lU_e`kPIovfcwgsg{U~Sljk(3+7=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsXimn#>=';9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^w\eab/:8#?56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Paef+67/312hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTmij'22+7=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsXimn#>9';9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^w\eab/:<#?56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Paef+63/312hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/EkpgwcsmV^bahQFnugdvdrhzVTmij'26+7=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#Ig|csgwaZRnelUBbykhr`vlvZsXimn#>5';9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^w\eab/:0#?46lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~R{Paef+7,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Ujhi&<0(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYflm"8=$:7;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]b`a.3!=20najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyRokd)7*0==edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Jfsbpfp`YSadoTEczjgscwkwYrWhno$;';8:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Mcxok{e^Vji`YNf}ol~lz`r^w\eab/? >37obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySxQnde*;-1>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Uxmy&>0(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYti}":=$:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]peq.6: >27obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySxQ|au*27,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Uxmy&>4(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYti}":9$:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]peq.6> >27obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySxQ|au*23,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Dhq`v`rbW]cfiRGatdeqeqiuW|Uxmy&>8(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Hd}lrdvf[QojmVCexhi}aumq[pYti}":5$:7;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(L`yh~hzj_UknaZOi|lmymya}_t]peq.5!=30najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyR}nt)03-1?)5;8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Oe~m}eug\PlkbW@dij|ntnp\qZuf|!89%974bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Pu^qbp-44!=30najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Gmveum}oTXdcj_Hlwabtf|fxTyR}nt)07-1>%9m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})CaziyiykPThof[Lhsmnxjxb|Px^pfcZir|!<"8n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Bn{jxnxhQ[ilg\Mkrbo{kcQw_sgd[jss >#?o6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr/0 >h7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,@ludzl~nSYgbe^Kmp`aui}eySuQ}ef]lqq.>!0(75?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPM`fg[f/98#>:6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRmv(00*13=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYdq!;8%884bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPcx*20,313kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWjs#=8':6:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoS@okd^az,40.=?1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`ZKflmUhu%?8)448fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\g|.60 ?=7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSnw'18+61>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_Lcg`Ze~ ;#>:6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRmv(32*13=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYdq!8:%884bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPcx*16,313kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWjs#>>':6:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoS@okd^az,72.=?1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`ZKflmUhu%<:)4;8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\g|.5= Uyx884bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPcx*12,3>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWjs#>;'Pru75?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPM`fg[f/:>#>56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRmv(35*[wr2>2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVir$?6&589ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]`}-4?!Vx9;5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVGjhiQly)0:-0?2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVf~x%?&569ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]oqq.68 ?<7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSa{{(03*12=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYk}}":>$;8;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTAljk_mww,45.=>1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`ZKflmUgyy&>4(74?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPM`fg[iss 8?"9:5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVGjhiQcuu*22,303kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWe$<9&569ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]oqq.60 ?<7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSa{{(0;*13=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYk}}"9%894bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPltv+65/2?2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVf~x%<>)458fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\hpr/:;#>;6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRbzt)00-019':7:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoS@okd^nvp-42!<=0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NeabXL`yh~hzj_UknaZOi|lmymya}_t]b`aYJimnT`xz'27+63>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_Lcg`Zjr|!8<%894bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPltv+6=/2?2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVf~x%<6)448fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\hpr/; ?<7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSa{{(22*12=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYk}}"8=$;9;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTAljk_mww,1/2>2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVf~x%;&579ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]oqq.1!<<0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NeabXL`yh~hzj_UknaZOi|lmymya}_t]b`aYJimnT`xz'7(75?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPM`fg[iss 1#>:6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRbzt);*13=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYg{";%884bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPxnp+5,303kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWqey$<>&569ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]{kw.69 ?<7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSua}(00*12=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYg{":?$;8;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EhnoSIg|csgwaZRnelUBbykhr`vlvZsXimnTAljk_ymq,42.=>1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`ZKflmUsc&>5(74?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Aljk_EkpgwcsmV^bahQFnugdvdrhzVTmijPM`fg[}iu 8<"9:5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*KflmUOe~m}eug\PlkbW@dij|ntnp\qZgclVGjhiQwos*23,303kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWqey$<6&569ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]{kw.61 ?=7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSua}(3+63>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_Lcg`Z~hz!8;%894bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPxnp+64/2?2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVrd~%<=)458fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\|jt/::#>;6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HgclVNbn|jtd]WmhcXAg~nko{os]v[dbcWDkohRv`r)07-018':7:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoS@okd^zlv-41!<=0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NeabXL`yh~hzj_UknaZOi|lmymya}_t]b`aYJimnTtb|'26+63>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@okd^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk_Lcg`Z~hz!83%894bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPxnp+62hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Lcg`ZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg[HgclVrd~%=&569ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GjhiQKiraqaqcX\`gnSD`{efpbpjtX}VkohRCnde]{kw.48 ?<7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IdbcWMcxok{e^Vji`YNf}ol~lz`r^w\eabXEhnoSua}(23*13=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cnde]Gmveum}oTXdcj_Hlwabtf|fxTyRokd^Ob`aYg{"?%884bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})JimnTHd}lrdvf[QojmVCexhi}aumq[pYflmUFmijPxnp+1,313kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv M`fg[Aotk{oiRZfmd]Jjqc`zh~d~R{Paef\IdbcWqey$;':6:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'DkohRJfsbpfp`YSadoTEczjgscwkwYrWhnoS@okd^zlv-1.=?1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Ob`aYCaziyiykPThof[Lhsmnxjxb|Pu^cg`ZKflmUsc&7)448fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FmijPDhq`v`rbW]cfiRGatdeqeqiuW|UjhiQBaef\|jt/1 ?37obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IfijxhxTMijPotv2_67ZWNDOS946lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HeheykySLjk_nww5^56UVMEHR:=_n]OavuS`hdT>;Q`_b{+7,3?3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MbmntdtXImnTcxz>[23^[BHCW=8TcRBjsrVkekY5>VeTot&;)4:8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Fobcas]B`aYh}};P? tnprq`Ydgg~ycabjr^`l|*Kdgdzj~ROkd^mvp4]49TULBIQ;2^m\H`ut\akeS?8Po^az,3/212hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LaliuguWHnoSb{{1Z12YZAILV>9SbQCerqWldhX:?UdSa{{(1+6=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@m`mqcq[DbcWf=V=>]^EM@Z25WfUGi~}[h`l\63YhWe$<':9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dida}o}_@fg[jss9R9:QRIAD^61[jYKmzy_dl`P27]l[iss ;#>56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HeheykySLjk_nww5^56UVMEHR:=_n]OavuS`hdT>;Q`_mww,6/212hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LaliuguWHnoSb{{1Z12YZAILV>9SbQCerqWldhX:?UdSa{{(5+6=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@m`mqcq[DbcWf=V=>]^EM@Z25WfUGi~}[h`l\63YhWe$8':9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dida}o}_@fg[jss9R9:QRIAD^61[jYKmzy_dl`P27]l[iss ?#>46lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HeheykySLjk_nww5^56UVMEHR:=_n]OavuS`hdT>;Q`_mww`l3>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MbmntdtXImnTcxz>[23^[BHCW=8TcRBjsrVkekY5>VeT`xzki07:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Anabp`p\EabXg|~:W>?R_FLG[14XgVFn~Zgao]12ZiXd|~oe?8j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(Ejef|l|PDhq`v`rbW]cfiRGatdeqeqiuW|UjhiU<1\]DJAY3:VeT@h}|Ticm[46XgVir$='9e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dida}o}_EkpgwcsmV^bahQFnugdvdrhzVTmijT30_\CKBX<;UdSAk|sUjbjZ77WfUhu%?&6d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Ghc`~nr^Fjwftb|lU_e`kPIovfcwgsg{U~Sljk[23^[BHCW=8TcRBjsrVkekY68VeTot&=)7g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Fobcas]Gmveum}oTXdcj_Hlwabtf|fxTyRokdZ12YZAILV>9SbQCerqWldhX99UdSnw'3(4f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$Anabp`p\@ludzl~nSYgbe^Kmp`aui}eySxQndeY05XY@FMU?>RaPLdqpPmgiW8:TcRmv(5+5a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@m`mqcq[Aotk{oiRZfmd]Jjqc`zh~d~R{PaefX74[XOGNT8?Q`_MgpwQnffV;;SbQly)7*2`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"ClolrbvZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg_67ZWNDOS9]^EM@Z25WfUGi~}[h`l\55YhWe$9'9f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dida}o}_EkpgwcsmV^bahQFnugdvdrhzVTmijT30_\CKBX<;UdSAk|sUjbjZ77WfUgyy&:)7d8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Fobcas]Gmveum}oTXdcj_Hlwabtf|fxTyRokdZ12YZAILV>9SbQCerqWldhX99UdSa{{(7+5a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@m`mqcq[Aotk{oiRZfmd]Jjqc`zh~d~R{PaefX74[XOGNT8?Q`_MgpwQnffV;;SbQcuufj2c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"ClolrbvZBn{jxnxhQ[ilg\Mkrbo{kcQz_`fg_67ZWNDOS9Po^nvpao5;l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx:l0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey; tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZGDAGU:=:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YFK@DT=?9j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu7XIJCES<=8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx56g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]BGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8UJOD@P175f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey;TMNGA_054a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos[DEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz:SLMFN^3;3`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugntZGDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=ROLIO]2=2b_@AJJZ45?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVKHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^C@MKY5;>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbeyUJOB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]BGLHX:==n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdzTMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\EFOIW;? tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZGDAGU9;:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YFK@DT>59j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu7XIJCES?78d:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx8d:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx16g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjx8U[OD@P135f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_014a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^373`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO]212c_QAJJZ7??l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY61>n0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGLHX:>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGLHX:9=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdzT\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW;; tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZVDAGU9?:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT>99j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES?;8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx_lwo[qcjx8U[OD@P295f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_3;4`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^14a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^133`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO]052c_QAJJZ53?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz>_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc1^R@MKY4=>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbeyU[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr6WdgSykbp0]SGLHX;?=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdzT\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes9Vg~`Rzjmq3\TFOIW:= tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv2[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos5ZVDAGU85:j4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT8:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw5ZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugnt4YWK@DT8=9j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p4Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i=Rczl^vfiu7XXJCES9?8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq7Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx_lwo[qcjx8U[OD@P455f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|8UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{1^ovhZrbey;T\NGA_574a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk};TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt0]nqiYsmdz:S]MFN^653`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~:S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu3\ipjX|lg{=R^LIO]732bRczl^vfiu5a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGLHX8>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq0\TFOIW8=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UENFV;;;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIBBR?=7g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp0]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;U[OD@P125e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr2[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz9S]MFN^373c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?Q_CHL\501a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QAJJZ71?o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGLHX9>=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UENFV;3;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIBBR<8f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{>R^LIO]162`Rczl^vfiu7XXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}l0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq0\TFOIW;?Rczl^vfiu4XXJCES?98f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{>R^LIO]03c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?Q_CHL\751a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QAJJZ56?o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGLHX;;=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UENFV98;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIBBR=:7g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp0]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;U[OD@P375e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr2[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz9S]MFN^143c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?Q_CHL\7=1a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QAJJZ5>?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGLHX<>l0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq0\TFOIW=:Rczl^vfiu4XXJCES9<8f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{>R^LIO]712`Rczl^vfiu7XXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq0\TFOIW<=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UENFV< tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|Rczl^vfiu4XXJCES4=i;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=7d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]BGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:UJOD@P06g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq0\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey9TMNGA_05e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[DEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz8SLMFN^333c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YFKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>QNCHL\541a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WHIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_@AJJZ75?o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;UJOB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp2]BGLHX9:=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz9SLM@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr0[DENFV;?;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt6YFK@DT=89i;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv4WHIBBR?97g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]BGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:UJOD@P165e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[DEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz8SLMFN^3;3c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YFKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>QNCHL\5<1b3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WHIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_@AJJZ40n2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyl0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey8TMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq1\EFOIW;;ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos7ZGDAGU9>:h4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Rczl^vfiu5XIJCES?=8f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc2^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos6ZGDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{?ROLIO]122`Rczl^vfiu4XIJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}=PABKM[710n2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyl0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey8TMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq1\EFOIW;3ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos7ZGDAGU8;h5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt6YFK@DT8:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Rczl^vfiu5XIJCES89j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv4WHIBBR88e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc2^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos6ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{?R^LIO]23c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>Q_CHL\551a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_QAJJZ76?o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp2]SGLHX9;=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz9S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr0[UENFV;8;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt6YWK@DT=99i;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv4WYIBBR?:7g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:U[OD@P175e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz8S]MFN^343c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>Q_CHL\5=1a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_QAJJZ7>?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp2]SGLHX:>l0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey8T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq1\TFOIW;:R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos7ZVDAGU9=:h4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Rczl^vfiu5XXJCES?<8f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc2^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos6ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{?R^LIO]112`Rczl^vfiu4XXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}=PPBKM[700n2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyl0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey8T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq1\TFOIW;2R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos7ZVDAGU95:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Rczl^vfiu5XXJCES>9i;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv4WYIBBR=?7g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:U[OD@P305e?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz8S]MFN^113c=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>Q_CHL\761a3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_QAJJZ53?o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx;U[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp2]SGLHX;<=m7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz9S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr0[UENFV9=;k5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|?Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt6YWK@DT?:9i;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~=_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv4WYIBBR=77g9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp3]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:U[OD@P385f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr1[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz8S]MFN^64b>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos6ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{?R^LIO]742`Rczl^vfiu4XXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}=PPBKM[170n2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyl0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey8T\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq1\TFOIW=9R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos7ZVDAGU?8:h4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Rczl^vfiu5XXJCES9;8f:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc2^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos6ZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{?R^LIO]63`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt7YWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|>Q_CHL\22cRczl^vfiu4XXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}=PPBKM[21b3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv5WYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~<_QAJJZ>0m2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oy;o1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx:=o7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzTMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\EFOIW9=o7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzTMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\EFOIW8=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzTMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\EFOIW8: tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZGDAGU:>:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YFK@DT=>9j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES<:8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WHIBBR?:7d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oy66g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq]BGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8UJOD@P165f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;TMNGA_0:4a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos[DEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:SLMFN^3:3a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZGDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=ROLIO]13`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZGDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=ROLIO]142cRczl^vfiuYFKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|_@AJJZ44?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjxVKHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^C@MKY5<>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbeyUJOB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp0]BGLHX:<=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzTMNAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\EFOIW;< tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZGDAGU94:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YFK@DT>49k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES>9k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES99k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES89k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES;9k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES:9k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES59k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XIJCES49k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XXJCES=9k;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XXJCES<9j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XXJCES<>8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIBBR?>7d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oy26g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjx8U[OD@P125f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|;UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbey;T\NGA_064a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]MFN^363`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]222cRczl^vfiuYWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|0m2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oy_QAJJZ7>?m1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@MKY5?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@MKY58>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbeyU[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp0]SGLHX:8=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzT\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\TFOIW;8 tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDAGU98:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YWK@DT>89j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XXJCES?88e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIBBR<87d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]MFN^123`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]062cRczl^vfiuYWKF__SyaPtnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|_QAJJZ52?l1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynz=_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc1^R@MKY4>>o0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{2^ovhZrbeyU[OB[[_um\pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp0]SGLHX;>=n7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdzT\NAZT^vl[qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pes:Vg~`Rzjmq3\TFOIW:2 tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDAGU?;h5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv1[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos5ZVDAGU?<:k4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw6ZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugnt4YWK@DT8<9j;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`p7Yj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|i>Rczl^vfiu7XXJCES9<8e:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgq4Xe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhx?Qbum]wahv6WYIBBR:<7d9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfr5WdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oydkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}8TaxbPtdos[UEH]]UcRz`rpwf[fii|{eg`h|Pbnz,Ihsk|VZyci{lt3]nqiYsmdz:S]MFN^643a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]63a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]53a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]43a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO];3a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~9S`{c_ugntZVDG\^TxbQ{ossvaZehf}xd`ak}_cm{+Hkrd}U[~bjzcu0\ipjX|lg{=R^LIO]:7a=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos7`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos52ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P16a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WHIBBR??7b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XIJCES tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\EFOIW8?i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVKHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_@AJJZ7??j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWHIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PABKM[4?0j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P235`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]BGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VKHECQ=36a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WHIBBR<;7b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_@ALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XIJCES?;8c:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT>;9l;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}QNCNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZGDAGU9;:m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|ROLOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[DENFV83;n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{SLM@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\EFOIW;3h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVKHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_@AJJZ20j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXIJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\EFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8UJOD@P76`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^C@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WHIBBR68b:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PABMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YFK@DT5:l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV:i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ77?j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[470k2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P175`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ>76a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR?77b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XXJCES<78b:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT>:m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV8;;n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFOIW;;i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ43?j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[730k2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P36a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR=?7b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XXJCES>?8c:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT??9l;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU8?:m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV9?;n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}e~T\akubv\ipjX|lg{S]M@UU]wkZrhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq3\TFOIW:?i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ5??j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kwWYIDYYQ{o^vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}?PPBKM[6?0j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr\TFIR\V~dSya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjx8U[OD@P435`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{ct^Rqkasd|Vg~`Rzjmq]SGJSSW}eTxb|~ud]`kkrugefn~Rl`x.OnqirXX{eoynzPmtn\p`kw9VZHECQ;36a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%Faxb{_Qpl`pesWdgSykbp^R@KPRX|fUcze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahv6WYIBBR:;7b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyazPPsmgqfrXe|fTxhc_QALQQYsgV~d~|{j_bmmpwikdlxTnbv MlwopZVugmhxRczl^vfiu7XXJCES9;8c:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~PPBMVPZrhW}ey}xkPcnlwvjjkm{Uicu!Bmtnw[Uthl|iS`{c_ugnt4YWK@DT8;9l;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdgxR^}oew`pZkrdV~na}Q_CNWW[qiX|fxzyhQloovqkijbzVhdt"Cbumv\Twic}j~TaxbPtdos5ZVDAGU?;:l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|R^LOTV\pjYsg{{~iRm`nuplhicuWkes#@czlu]Svjbrk}UfyaQ{elr2[UENFV?>h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-NipjsWYxdhxm{_lwo[qcjxVZHCXZPtn]wkwwrmVidby|`lmgq[gi'Dg~`yQ_rnfvgqYj}eUi`~>_QAJJZ10j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/LovhqYWzfn~oyQbum]wahvXXJE^XRz`_umqupcXkfd~bbces]ak})Je|fS]|`dtaw[hskW}of|dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1137`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1037`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1337`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1237`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1537`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1437`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1737`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1637`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{1937g>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{U~S~o{186`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVTlz=15f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<;15f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<815f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<715f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy<615f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy=?15f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy=>15f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy==15a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuW|Uxmy=<4b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtX}Vyjx9?;c:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~tRJfsbpfp`YSadoTEczjgscwkwYrWzk9<:l;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZsX{h~==9m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|rTHd}lrdvf[QojmVCexhi}aumq[pYti}=:8n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\qZuf|1;?o6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+HkrpVNbn|jtd]WmhcXAg~nko{os]v[vgs18?:7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~:<<;>;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZ~XzlmTcxz>1072?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVrT~hiPotv264363kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr6;8?:7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~:8<;>;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZ~XzlmTcxz>5072?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_EkpgwcsmV^bahQFnugdvdrhzVrT~hiPotv224363kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr6?8?:7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,IhsWMcxok{e^Vji`YNf}ol~lz`r^z\v`aXg|~:4<;?;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(EdsSIg|csgwaZRnelUBbykhr`vlvZ~XzlmTcxz=1438fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%FaxvPDhq`v`rbW]cfiRGatdeqeqiuWqUyijQ`uu075074bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Je|rTHd}lrdvf[QojmVCexhi}aumq[}YumnUdyy=<519ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&GfyuQKiraqaqcX\`gnSD`{efpbpjtXpVxnkRazt5364>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Fjwftb|lU_e`kPIovfcwgsg{UsSkh_nww14373kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Mlw{[Aotk{oiRZfmd]Jjqc`zh~d~RvPrde\kpr19<:0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Nip~XL`yh~hzj_UknaZOi|lmymya}_y]qabYh}}=:9=5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*Kj}qUOe~m}eug\PlkbW@dij|ntnp\|ZtboVe~x5?:0:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'Dg~tRJfsbpfp`YSadoTEczjgscwkwYW{olSb{{901f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$A`{w_RcwqwgsafdTcxz>1330a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#@czx^Qbpptf|`eeSb{{10127`=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"Cbuy]Peqsui}cdbRazt03756cdkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&>1(1`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv2[hskW}of|%?=)2a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$<=&3b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdz#=9'_lwo[qcjx!;=%>m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw 8="?n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxdkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&=5(1`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv2[hskW}of|%<9)2a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$?9&3b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt0]nqiYsmdz#>5'_lwo[qcjx!9"?n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxdkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw5ZkrdV~na}&;)2`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu3\ipjX|lg{$8'l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oy?Pmtn\p`kw >#8n6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Uthl|i=Rczl^vfiu.?!:h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Svjbrk};TaxbPtdos,_lwo[qcjxR;8QROAD^337dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw6ZkrdV~na}&>3(1`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv1[hskW}of|%?;)2a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu0\ipjX|lg{$<;&3b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt3]nqiYsmdz#=;'m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oy tnprq`Ydgg~ycabjr^`l|*Vugmhx?Qbum]wahv/: 9h7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~9S`{c_ugnt-47!:i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Svjbrk}8TaxbPtdos,77.;j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Rqkasd|;UfyaQ{elr+67/4k2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pes:Vg~`Rzjmq*17,5d3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Psmgqfr5WdgSykbp)07-6edkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw6ZkrdV~na}&=7(1`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv1[hskW}of|%<7)2a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu0\ipjX|lg{$?7&3c9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt3]nqiYsmdz#?$=l;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynz=_lwo[qcjx!9;%>m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oy tnprq`Ydgg~ycabjr^`l|*Vugmhx?Qbum]wahv/;;#8o6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Uthl|i>Rczl^vfiu.4; 9h7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~9S`{c_ugnt-53!:i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Svjbrk}8TaxbPtdos,63.;j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Rqkasd|;UfyaQ{elr+73/4k2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pes:Vg~`Rzjmq*03,5d3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv Psmgqfr5WdgSykbp)1;-6e?%>m4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oy tnprq`Ydgg~ycabjr^`l|*Vugmhx?Qbum]wahv/= 9i7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~9S`{c_ugnt-0.;j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Rqkasd|;UfyaQ{elr+26/4j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pes:Vg~`Rzjmq*4-6ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw6ZkrdV~na}&6)4f8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu0\ipjX|lg{W;=R_Qpl`pes:Vg~`RzjmqY27XYFFMU:<9>Po4g8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu0\ipjX|lg{W;=R_Qpl`pes:Vg~`RzjmqY27XYFFMU:<9>Po07f?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv1[hskW}of|V8<]^Rqkasd|;UfyaQ{elrX56[XIGNT==:?_n06a>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw6ZkrdV~na}U93\]Svjbrk}8TaxbPtdos_45ZWHDOS<>;0^m07d=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"^}oew`pZkrdV~na}&?)2c8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu]nqiYsmdz#=$=m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynzPmtn\p`kw 8:"?o5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxRczl^vfiu.69 9i7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos,44.;k1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Rqkasd|Vg~`Rzjmq*27,5e3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv PsmgqfrXe|fTxhc(06*7g=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"^}oew`pZkrdV~na}&>5(1a?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv\ipjX|lg{$<8&3c9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt^ovhZrbey":;$=m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynzPmtn\p`kw 82"?o5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxRczl^vfiu.61 9j7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos,7/4j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pesWdgSykbp)03-6ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw[hskW}of|%<=)2`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu]nqiYsmdz#>>'l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oyQbum]wahv/:<#8n6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Uthl|iS`{c_ugnt-41!:h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+62/4j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pesWdgSykbp)0;-6ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw[hskW}of|%=&3c9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt^ovhZrbey"8<$=m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynzPmtn\p`kw :;"?o5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxRczl^vfiu.4: 9i7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos,65.;k1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.Rqkasd|Vg~`Rzjmq*00,5e3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv PsmgqfrXe|fTxhc(27*7g=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"^}oew`pZkrdV~na}&<6(1a?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$\akubv\ipjX|lg{$>9&3c9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&Zyci{lt^ovhZrbey"84$=m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynzPmtn\p`kw :3"?l5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxRczl^vfiu.3!:h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-Svjbrk}UfyaQ{elr+05/4j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pesWdgSykbp)62-6ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#]|`dtaw[hskW}of|%:<)2`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu]nqiYsmdz#89'>%>o4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})Wzfn~oyQbum]wahv/= 9j7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos,3/4i2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/Qpl`pesWdgSykbp)5*7d=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"^}oew`pZkrdV~na}&7)2c8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%[~bjzcu]nqiYsmdz#5$;m;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(X{eoynzPmtn\p`kwS?9VS]|`dtaw[hskW}of|V?<]^CM@Z77;1Ud9n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*VugmhxRczl^vfiu]1;TU[~bjzcu]nqiYsmdzP=>SPAOF\555?Wf;>o6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+Uthl|iS`{c_ugnt^04UVZyci{lt^ovhZrbeyQ:?PQNNE]246>Xg;?h7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,Twic}j~TaxbPtdos_35ZWYxdhxm{_lwo[qcjxR;8QROAD^337=Yh;=20najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~x_b{+54/312hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"C|uc]Gmc`Xg|~:Snw'13+7=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&GxyoQKigd\kpr6Wjs#=>';9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'|UOekhjrdl`a*Kt}kUOekhPotv2[f/9=#?56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.OpqgYCaolTcxz>_b{+50/312hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"C|uc]Gmc`Xg|~:Snw'17+7=>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&GxyoQKigd\kpr6Wjs#=:';9:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'|UOekhjrdl`a*Kt}kUOekhPotv2[f/91#?56lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.OpqgYCaolTcxz>_b{+527obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/LqvfZBnnoUdyy?Pcx*16,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv u^Fjbccumgin#@}zb^FjbcYh}};Tot&=3(6;?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'Dy~nRJffg]lqq7Xkp"8%964bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,IvseWMcmjRazt0]`}-2.<11i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.w\@l`am{oeoh!Bst`\@l`aWf=Rmv(4+7<>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&GxyoQKigd\kpr6Wjs#:$:7;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(}VNbjkk}eoaf+HurjVNbjkQ`uu3\g|.0!=20najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~x_mww,4/3i2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"C|uc]Gmc`Xg|~:Sa{{(02*0d=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"{PDhdeawcikl%FxlPDhde[jss9Vf~x%?>)5c8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%~SIgifdpfjfc(EziSIgif^mvp4Yk}}":>$:n;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(}VNbjkk}eoaf+HurjVNbjkQ`uu3\hpr/9:#?m6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.OpqgYCaolTcxz>_mww,42.6(6b?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'Dy~nRJffg]lqq7Xd|~#=:';a:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'|UOekhjrdl`a*Kt}kUOekhPotv2[iss 82"8l5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*sXL`lmikacd-NwpdXL`lmSb{{1^nvp-7>!=30najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~x<';a:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'|UOekhjrdl`a*Kt}kUOekhPotv2[iss ;8"8l5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*sXL`lmikacd-NwpdXL`lmSb{{1^nvp-44!=k0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~x3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv u^Fjbccumgin#@}zb^FjbcYh}};T`xz'3(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'Dy~nRJffg]lqq7Xd|~#8$:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(}VNbjkk}eoaf+HurjVNbjkQ`uu3\hpr/= >27obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/LqvfZBnnoUdyy?Pltv+2,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv u^Fjbccumgin#@}zb^FjbcYh}};T`xz'7(6:?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'Dy~nRJffg]lqq7Xd|~#4$:6;cnggZuneVl{klQw0.vlvtsbWjeexacldp\fj~(}VNbjkk}eoaf+HurjVNbjkQ`uu3\hpr/1 >27obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/LqvfZBnnoUdyy?Pxnp+4,2>3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv u^Fjbccumgin#@}zb^FjbcYh}};Ttb|'1(6b?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'Dy~nRJffg]lqq7Xpfx#==';a:`o`fYtadUm|joPx1-wkwwrmVidby|`lmgq[gi'|UOekhjrdl`a*Kt}kUOekhPotv2[}iu 8;"8l5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*sXL`lmikacd-NwpdXL`lmSb{{1^zlv-75!=k0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~xdkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&GxyoQKigd\kpr6Wqey$<;&4`9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&THdhiesgmg`)J{|hTHdhi_nww5Z~hz!;=%9o4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,IvseWMcmjRazt0]{kw.6? >j7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/LqvfZBnnoUdyy?Pxnp+5=/3i2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"C|uc]Gmc`Xg|~:Sua}(0;*0<=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"{PDhdeawcikl%FxlPDhde[jss9Vrd~%<&4`9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&THdhiesgmg`)J{|hTHdhi_nww5Z~hz!8;%9o4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,IvseWMcmjRazt0]{kw.59 >j7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/LqvfZBnnoUdyy?Pxnp+67/3i2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"C|uc]Gmc`Xg|~:Sua}(31*0d=edmiTdcPfqeb[}6(|fxzyhQloovqkijbzVhdt"{PDhdeawcikl%FxlPDhde[jss9Vrd~%<;)5;8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%~SIgifdpfjfc(EziSIgif^mvp4Yg{"8%974bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,IvseWMcmjRazt0]{kw.3!=30najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk Mrwa[AoanVe~x(0+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'11+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'10+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'13+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'12+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'15+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'14+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'17+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'16+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'19+0`>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'18+0g>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?'2(1g?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S<&=0(1g?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S<&=1(1g?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S<&=2(1g?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S<&=3(1`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S<&<)2a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%~SIgifdpfjfc(]VaT=%:&3b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&THdhiesgmg`)RWbU:$8'm4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,QZmX9!2"?n5mlea\wlkXnymjSu> tnprq`Ydgg~ycabjr^`l|*sXL`lmikacd-V[nY6 0#8n6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.W\oZ7X8:h0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk U^i\5Z74k2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"[Pk^3\555d3kfooR}fm^dscdY8&~d~|{j_bmmpwikdlxTnbv u^Fjbccumgin#XQd_0]256e_010g>dkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?P151`?gjckVybaRhg`]{4*rhzxnSnaatsmoh`tXjfr$yRJffggqakeb'\U`S52a8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%~SIgifdpfjfc(]VaT=R?93b9ahaeX{`gTj}in_y2,pjtv}lUhccz}omnfvZdhp&THdhiesgmg`)RWbU:S<9l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,QZmX9V88o6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.W\oZ7X:99h7obkc^qjiZ`wohUs<"z`rpwf[fii|{eg`h|Pbnz,qZBnnooyicmj/T]h[4Y59:i0najl_rkn[cv`iVr;#ya}qtg\gjhszffgiQmoy-v[Aoanlxnbnk U^i\5Z45;j1i`imPsho\buafWq:$xb|~ud]`kkrugefn~Rl`x.w\@l`am{oeoh!Z_j]2[754j2hghnQ|il]etbgXp9%cze^aljqthdeoySoaw/t]Gmc`bzldhi"[Pk^3\76ddkljUxe`Qipfc\|5)sg{{~iRm`nuplhicuWkes#xQKigdfv`hdm&_TgR?P52`8fibdWzcfSk~ha^z3+qiuy|oTob`{rnnoawYegq%~SIgifdpfjfc(]VaT=R8l4bmf`[vojWozlmRv?/umqupcXkfd~bbces]ak})rWMcmjh|jnbg,QZmX9V28n6lcdb]pmhYaxnkTt=!{ossvaZehf}xd`ak}_cm{+pYCaoln~h`le.W\oZ7X1;1hil5le^3\wbY8:1h`fm4cmi\64b3mh3o:6mck^z3g>erz{oexRkyam38`6=cld897ikiatnw[fjhkbUo~dxjr^`o`fYtadUm|jo73:ffbdsk|VigcnePdskuawYedmiTdcPfqeb[}6(peigcnekrhtfvZ7(kexT~hi l`vfjfXo}U;"kjPaspb}^7Z&{olSnabp.dg[utneVky~lwT0\,amqYn{V:%ji|j_bmntg=caU88~Q<2r48awt/8 <0i|'1(58awt/99#<7h|}(03*3>cuz!;9%:5jrs*27,1cuz!8;%:5jrs*15,1?'8;dpq,75.?2oy~%<;)69fvw.5= =0i|'27+4?`tu ;=";6k}r)0;-2=bz{"95$84esp+7,1:1+5?`tu =#=7h|}(4+5?`tu ?#=7h|}(6+5?`tu 1#=7h|}(8+6?lr/8 ?0ey&>)79jp-77!?1bx%?>)79jp-75!?1bx%?<)79jp-73!?1bx%?:)79jp-71!?1bx%?8)79jp-7?!?1bx%?6)49jp-4.>2c$?>&6:kw,77.>2c$?<&6:kw,75.>2c$?:&6:kw,73.>2c$?8&6:kw,71.>2c$?6&6:kw,7?.=2c$>'9;hv+75/13`~#?<'7;hv+74<7!<1bx%:&5:kw,0/23`~#:$;4iu*4-0=n|!2"96g{(8+:?lrXehr#<$74iu]ne}.6!h1bxRcnx)33-d=n|Vgjt%?>)`9jpZkfp!;9%l5ft^ob|-74!h1bxRcnx)37-d=n|Vgjt%?:)`9jpZkfp!;=%l5ft^ob|-70!h1bxRcnx)3;-d=n|Vgjt%?6)89jpZkfp!8"m6g{_lc{,76.i2cS`ow(33*e>osWdks$?<&a:kw[hg ;9"m6g{_lc{,72.i2cS`ow(37*e>osWdks$?8&a:kw[hg ;="m6g{_lc{,7>.i2cS`ow(3;*=>osWdks$>'n;hv\id~/;9#j7dzPm`z+74/d3`~Talv'3083-<=n|Vgjt%:&9:kw[hg <#27dzPm`z+2,?.?2ao=%?6)79h`4.5!>1`h<&=0(58oa7/:8#<7fj>(30*3>mc9!88%:5dd0*10,18'8;jf2,70.?2ao=%<8)69h`4.50 =0gi?'28+5?nb6 :#<7fj>(22*3>mc9!9:%45dd0*05?6.>2ao=%:&6:ig5-3.>2ao=%8&6:ig5-1.>2ao=%6&6:ig5-?.=2ab$=':;jk+5,0$84kh*27,0%;5di)05-3=la!8<%;5di)0;-3=la!82%85di)1*2>mn ::":6ef(23*<>mn :;1<$;4kh*7-0=la!?"96ef(7+6?no/? ?0gd&7)49hm-?.>2ab=%>&6:ij5-7.?2ab=%??)69hm4.69 =0gd?'13+4?no6 89";6ef1)37-2=la8":9$94kh3+53/03bc:$<9&7:ij5-7?!>1`e<&>9(48ol7/: =0gd?'21+4?no6 ;;";6ef1)01-2=la8"9?$94kh3+61/03bc:$?;&7:ij5-41!>1`e<&=7(58ol7/:1#<7fg>(3;*2>mn9!9";6ef1)13-2=la8"8=$74kh3+74<7!?1`e<&;)79hm4.2!?1`e<&9)79hm4.0!?1`e<&7)79hm4.>!<1`|%>&5:is,4/13bz#=='9;jr+54/13bz#=?'9;jr+56/13bz#=9'9;jr+50/13bz#=;'9;jr+52/13bz#=5'9;jr+5$84kq*14,0%85dp)4*1>mw >#>7f~'8(78ou.>!?1`|<&?)79ht4.6!>1`|<&>0(58ou7/98#<7f~>(00*3>mw9!;8%:5dp0*20,1(32*3>mw9!8:%:5dp0*16,1>'8;jr2,72.?2a{=%<:)69ht4.5> =0g}?'26+4?nv6 ;2";6e1)0:-3=lx8"8%:5dp0*04,1kh|fxT}kjd)32-c=jg}eyS~~jee*26,` l0abz`r^qsa`b/9>#m7`a{os]pt`cc 82"j6c`tnp\wucbl!;2%h5boumq[vvbmm"9%k5boumq[vvbmm"9<$h4mnvlvZuwmln#><'i;lmwkwYtxloo$?<&f:olpjtX{yonh%<<)g9nkqiuWzznii&=4(d8ijrhzVy{ihj'24+e?hisg{Ux|hkk(34*b>kh|fxT}kjd)04-c=jg}eyS~~jee*1<,`%h5boumq[vvbmm"=%h5boumq[vvbmm"<%h5boumq[vvbmm"3%h5boumq[vvbmm"2%o5aev]`qwtbf}30bhyPsqgf`==iojh~eaj>2:rfvvot|ldhkhPioqw51=wm{ybykatede[lht|V{=7}yb(1+5?uqj 8#<7}yb(02*3>vpe!;:%:5wl*26,1'8;qun,42.?2z|a%?:)69ssh.6> =0|zc'16+4?uqj 82";6~xm)3:-3=wd"9%:5wl*14,1<'8;qun,74.?2z|a%<<)69ssh.5< =0|zc'24+4?uqj ;<";6~xm)04-2=wd"94$94pvo+6'8;qun,66.?2z|a%=>)89ssh.493:":6~xm)6*2>vpe!?":6~xm)4*2>vpe!=":6~xm):*2>vpe!3"=6l;sge[vvbmm";%n5}eg]pt`cc 8#o7ki_rrfaa.68 n0~hhPsqgf`-76!m1yikQ|pdgg,44.l2xnjR}edf+56/c3{omS~~jee*20,btbnVy{ihj'16+g?wcaWzznii&>8(f8v``X{yonh%?6)b9qacYtxloo$?'k;sge[vvbmm"9<$j4rdd\wucbl!8:%i5}eg]pt`cc ;8"h6|jf^qsa`b/::#o7ki_rrfaa.5< n0~hhPsqgf`-42!m1yikQ|pdgg,70.l2xnjR}edf+62/c3{omS~~jee*1<,b)g9qacYtxloo$>?50(a8v``X{yonh%:&c:pfbZuwmln#9$m4rdd\wucbl!<"o6|jf^qsa`b/? i0~hhPsqgf`->.k2xnjR}edf+=,3;rkmcicXzlmT{Rgaiu:8wucbl!:"46}edf+5,?<{yonh%??)89pt`cc 8;"56}edf+57/>3zznii&>3(;8wucbl!;?%45|pdgg,43.12y{ihj'17+:?vvbmm":;$74sqgf`-7?!01x|hkk(0;*<>uwmln#>$74sqgf`-47!01x|hkk(33*=>uwmln#>?'6;rrfaa.5; 30}kjd)07-<=txloo$?;&9:qsa`b/:?#27~~jee*13,?<{yonh%<7)89pt`cc ;3"46}edf+7,?<{yonh%=?)89pt`cc :;"n6}edf+74<7!11x|hkk(5+;?vvbmm">%55|pdgg,3/?3zznii&8)99pt`cc 1#37~~jee*:-46<{yonhRm`nuplhicui2y{ihjPewco<>uud8=nS<64ssn23`Y5j2yrbyfPrde\5g=tqg~cSkh_333?vi|aUyijQx_hljp47<|fxzyhQloovqkijbzm1s`nb`cjfqmscu12rghhbny^3:?}jcmekrS?h4xmrfvvot|ldhkhr@Ara`f487tn3a0>4=i:j>1:6*=c081ff=z[8=86?m::30`>454:m8;6<7=6`9P5f0=:?;96=4>320g65<61;=:7^?83;0557<72898>i1<7?51zQ230<5k<09>n4>320g65<61;?i7{Z=ab83>4<628395v]>7481g0<5:j0:?>5=z,;8o69j4b217>5<60>0:?8l5180:M4e=2.9n>4<359Y55b=kr8<6?652881e?4e2;i1>i4=e;0e>66=;808>7s+2``95>\6?=0:w>=<:|&1ed<5k?1b>85<#:0<1>8<>;o0:1?4<3`8>=n4?:%0:2?42:81e>4;53:9j607e290/>4852402?k4>=3>07d<:1`83>!4>>38>><5a28791>=n:<;26=4+284960463g829784;h065=<72-82:7<:209m6<3=?21b>8?8:18'6<0=:<8:7c<65;:8?l429?0;6)<66;0664=i:0?1565f2436>5<#:0<1>8<>;o0:1?g<3`8>=94?:%0:2?42:81e>4;5b:9j6075290/>4852402?k4>=3i07d<:1083>!4>>38>><5a2879`>=n:<;;6=4+284960463g8297k4;h064c<72-82:7<:209m6<3=n21b>8>j:18'6<0=:<8:7c<65;33?>o5=9n1<7*=97811776=n:<:j6=4+284960463g8297?;;:k115?=83.95;4=5338j7?228?07d<:2683>!4>>38>><5a287953=5$3;5>73592d9584>7:9j6042290/>4852402?k4>=3;376g=53694?"51?099??4n3;6>4?<3`8>>>4?:%0:2?42:81e>4;51`98m735:3:1(?79:3715>h51<0:n65f243f>5<#:0<1>8<>;o0:1?7d32c99<=50;&1=3<5=;;0b?7::0f8?l42810;6)<66;0664=i:0?1=h54i3733?6=,;3=6?;=1:l1=0<6n21b>88=:18'6<0=:<<87c<65;28?l42>80;6)<66;0626=i:0?1=65f247e>5<#:0<1>88<;o0:1?4<3`8>9h4?:%0:2?42>:1e>4;53:9j603c290/>4852440?k4>=3>07d<:5b83>!4>>38>:>5a28791>=n:8;6:18'6<0=:<<87c<65;:8?l42=10;6)<66;0626=i:0?1565f2474>5<#:0<1>88<;o0:1?g<3`8>9;4?:%0:2?42>:1e>4;5b:9j6033290/>4852440?k4>=3i07d<:5283>!4>>38>:>5a2879`>=n:8;?:18'6<0=:<<87c<65;33?>o5==l1<7*=97811356=n:<>h6=4+284960043g8297?;;:k111d=83.95;4=5718j7?228?07d<:6883>!4>>38>:>5a287953=5$3;5>731;2d9584>7:9j6000290/>4852440?k4>=3;376g=57494?"51?099;=4n3;6>4?<3`8>:84?:%0:2?42>:1e>4;51`98m731<3:1(?79:3757>h51<0:n65f2443>5<#:0<1>88<;o0:1?7d32c998;50;&1=3<5=?90b?7::0f8?l4286<:18'6<0=:<2?7c<65;28?l420;0;6)<66;06<1=i:0?1=65f24:3>5<#:0<1>86;;o0:1?4<3`8>;k4?:%0:2?420=1e>4;53:9j601b290/>48524:7?k4>=3>07d<:7e83>!4>>38>495a28791>=n:<=h6=4+284960>33g829784;h063g<72-82:7<:859m6<3=?21b>89n:18'6<0=:<2?7c<65;:8?l42?00;6)<66;06<1=i:0?1565f245;>5<#:0<1>86;;o0:1?g<3`8>;:4?:%0:2?420=1e>4;5b:9j6012290/>48524:7?k4>=3i07d<:7583>!4>>38>495a2879`>=n:<=86=4+284960>33g8297k4;h0637<72-82:7<:859m6<3=n21b>89>:18'6<0=:<2?7c<65;33?>o5=>:1<7*=97811=26=n:<33g8297?;;:k113e=83.95;4=5968j7?228?07d<:8`83>!4>>38>495a287953=5$3;5>73?<2d9584>7:9j60>?290/>48524:7?k4>=3;376g=59594?"51?0995:4n3;6>4?<3`8>4;4?:%0:2?420=1e>4;51`98m73?=3:1(?79:37;0>h51<0:n65f24:2>5<#:0<1>86;;o0:1?7d32c99:850;&1=3<5=1>0b?7::0f8?l42>k0;6)<66;06<1=i:0?1=h54i375e?6=,;3=6?;74:l1=0<6n21b>8o;:18'6<0=:7c<65;28?l42i:0;6)<66;06e0=i:0?1=65f24c2>5<#:0<1>8o:;o0:1?4<3`8>m=4?:%0:2?42i<1e>4;53:9j60?a290/>48524c6?k4>=3>07d<:9d83>!4>>38>m85a28791>=n:<3o6=4+284960g23g829784;h06=f<72-82:7<:a49m6<3=?21b>87m:18'6<0=:7c<65;:8?l421h0;6)<66;06e0=i:0?1565f24;:>5<#:0<1>8o:;o0:1?g<3`8>554?:%0:2?42i<1e>4;5b:9j60?1290/>48524c6?k4>=3i07d<:9483>!4>>38>m85a2879`>=n:<3?6=4+284960g23g8297k4;h06=6<72-82:7<:a49m6<3=n21b>87=:18'6<0=:7c<65;33?>o5=0;1<7*=97811d36=n:<2n6=4+284960g23g8297?;;:k11=b=83.95;4=5`78j7?228?07d<:ac83>!4>>38>m85a287953=5$3;5>73f=2d9584>7:9j60g>290/>48524c6?k4>=3;376g=5`:94?"51?099l;4n3;6>4?<3`8>m:4?:%0:2?42i<1e>4;51`98m73f>3:1(?79:37b1>h51<0:n65f24c1>5<#:0<1>8o:;o0:1?7d32c994950;&1=3<5=h?0b?7::0f8?l420j0;6)<66;06e0=i:0?1=h54i37;f?6=,;3=6?;n5:l1=0<6n21b>8m::18'6<0=:5<#:0<1>8m9;o0:1?4<3`8>o<4?:%0:2?42k?1e>4;53:9j60e7290/>48524a5?k4>=3>07d<:bg83>!4>>38>o;5a28791>=n:8ll:18'6<0=:5<#:0<1>8m9;o0:1?g<3`8>n44?:%0:2?42k?1e>4;5b:9j60d0290/>48524a5?k4>=3i07d<:b783>!4>>38>o;5a2879`>=n:6=4+284960e13g8297k4;h06f1<72-82:7<:c79m6<3=n21b>8l<:18'6<0=:o5=k81<7*=97811f06=n:!4>>38>o;5a287953=5$3;5>73d>2d9584>7:9j60ef290/>48524a5?k4>=3;376g=5b;94?"51?099n84n3;6>4?<3`8>o54?:%0:2?42k?1e>4;51`98m73d?3:1(?79:37`2>h51<0:n65f24a0>5<#:0<1>8m9;o0:1?7d32c99o650;&1=3<5=j<0b?7::0f8?l42im0;6)<66;06g3=i:0?1=h54i37bg?6=,;3=6?;l6:l1=0<6n21b>8k9:18'6<0=:5<#:0<1>8k8;o0:1?4<3`8>i?4?:%0:2?42m>1e>4;53:9j60c6290/>48524g4?k4>=3>07d<:e183>!4>>38>i:5a28791>=n:8jk:18'6<0=:5<#:0<1>8k8;o0:1?g<3`8>hl4?:%0:2?42m>1e>4;5b:9j60b?290/>48524g4?k4>=3i07d<:d683>!4>>38>i:5a2879`>=n:8j;:18'6<0=:o5=m91<7*=97811`16=n:!4>>38>i:5a287953=5$3;5>73b?2d9584>7:9j60ce290/>48524g4?k4>=3;376g=5dc94?"51?099h94n3;6>4?<3`8>i44?:%0:2?42m>1e>4;51`98m73b03:1(?79:37f3>h51<0:n65f24g7>5<#:0<1>8k8;o0:1?7d32c99i750;&1=3<5=l=0b?7::0f8?l42kl0;6)<66;06a2=i:0?1=h54i37``?6=,;3=6?;j7:l1=0<6n21b>;>7:18'6<0=:?:27c<65;28?l418>0;6)<66;054<=i:0?1=65f2726>5<#:0<1>;>6;o0:1?4<3`8=<94?:%0:2?41801e>4;53:9j6364290/>485272:?k4>=3>07d<90383>!4>>38=<45a28791>=n:?::6=4+2849636>3g829784;h0545<72-82:7<9089m6<3=?21b>8hi:18'6<0=:?:27c<65;:8?l42nl0;6)<66;054<=i:0?1565f24dg>5<#:0<1>;>6;o0:1?g<3`8>jn4?:%0:2?41801e>4;5b:9j60`f290/>485272:?k4>=3i07d<:f883>!4>>38=<45a2879`>=n:3g8297k4;h06b2<72-82:7<9089m6<3=n21b>8h9:18'6<0=:?:27c<65;33?>o5=o?1<7*=978125?6=n:3g8297?;;:k11c7=83.95;4=61;8j7?228?07d<90g83>!4>>38=<45a287953=5$3;5>70712d9584>7:9j636c290/>485272:?k4>=3;376g=61a94?"51?09:=74n3;6>4?<3`8=4;51`98m707i3:1(?79:343=>h51<0:n65f2725>5<#:0<1>;>6;o0:1?7d32c99kl50;&1=3<5>930b?7::0f8?l42n90;6)<66;054<=i:0?1=h54i37fb?6=,;3=6?8?9:l1=0<6n21b>;;?:18'6<0=:??:7c<65;28?l415<#:0<1>;;>;o0:1?4<3`8=8n4?:%0:2?41=81e>4;53:9j632e290/>4852772?k4>=3>07d<94`83>!4>>38=9<5a28791>=n:?>26=4+284963363g829784;h050=<72-82:7<9509m6<3=?21b>;:8:18'6<0=:??:7c<65;:8?l415<#:0<1>;;>;o0:1?g<3`8=894?:%0:2?41=81e>4;5b:9j6325290/>4852772?k4>=3i07d<94083>!4>>38=9<5a2879`>=n:?>;6=4+284963363g8297k4;h057c<72-82:7<9509m6<3=n21b>;=j:18'6<0=:??:7c<65;33?>o5>:n1<7*=97812076=6`=94827>=n:?9j6=4+284963363g8297?;;:k126?=83.95;4=6438j7?228?07d<95683>!4>>38=9<5a287953=:7>5$3;5>70292d9584>7:9j6332290/>4852772?k4>=3;376g=64694?"51?09:8?4n3;6>4?<3`8=9>4?:%0:2?41=81e>4;51`98m702:3:1(?79:3465>h51<0:n65f276f>5<#:0<1>;;>;o0:1?7d32c9:9=50;&1=3<5><;0b?7::0f8?l41;10;6)<66;0514=i:0?1=h54i3403?6=,;3=6?8:1:l1=0<6n21b>9jm:188m72cl3:17d<;dg83>>o5>8:1<75f2732>5<47>5;h051<<722e98kh50;&1=3<5=9:0b?7::198k72am3:1(?79:3734>h51<0:76a=4ga94?"51?099=>4n3;6>7=mn7>5$3;5>73782d9584<;:m10cg=83.95;4=5128j7?22=10c?:i9;29 7?12;?;<6`=9486?>i56;54o36e3?6=,;3=6?;?0:l1=0<032e98k850;&1=3<5=9:0b?7::998k72a=3:1(?79:3734>h51<0276a=4g694?"51?099=>4n3;6>d=m?7>5$3;5>73782d9584m;:m10c7=83.95;4=5128j7?22j10c?:i0;29 7?12;?;<6`=948g?>i56h54o36fa?6=,;3=6?;?0:l1=09kn:18'6<0=:<:;7c<65;30?>i56<:4;n07a=<72-82:7<:019m6<3=9<10c?;?6;29 7?12;?;<6`=94822>=h:<:>6=4+284960673g8297?8;:m1152=83.95;4=5128j7?228207b<:0283>!4>>38><=5a28795<=7>5$3;5>73782d9584>a:9l6066290/>4852423?k4>=3;i76a=4gf94?"51?099=>4n3;6>4e<3f8?j?4?:%0:2?42891e>4;51e98k72b?3:1(?79:3734>h51<0:i65`25g5>5<#:0<1>8>?;o0:1?7a32e999?50;&1=3<5==80b?7::198k73383:1(?79:3776>h51<0:76a=52g94?"51?0999<4n3;6>7=5$3;5>733:2d9584<;:m116e=83.95;4=5508j7?22=10c?;6`=9486?>i5=:k1<7*=97811146;54o370=?6=,;3=6?;;2:l1=0<032e99>650;&1=3<5==80b?7::998k734?3:1(?79:3776>h51<0276a=52494?"51?0999<4n3;6>d=5$3;5>733:2d9584m;:m1165=83.95;4=5508j7?22j10c?;<2;29 7?12;??>6`=948g?>i5=:;1<7*=97811146h54o3704?6=,;3=6?;;2:l1=0897c<65;30?>i5=;h1<7*=97811146<:4;n066d<72-82:7<:439m6<3=9<10c?;;8;29 7?12;??>6`=94822>=h:<><6=4+284960253g8297?8;:m1110=83.95;4=5508j7?228207b<:4483>!4>>38>8?5a28795<=5$3;5>733:2d9584>a:9l6024290/>4852461?k4>=3;i76a=52d94?"51?0999<4n3;6>4e<3f8>?94?:%0:2?42<;1e>4;51e98k73513:1(?79:3776>h51<0:i65`240;>5<#:0<1>8:=;o0:1?7a32e9:?k50;&1=3<5>;l0b?7::198k705l3:1(?79:341b>h51<0:76a=63`94?"51?09:?h4n3;6>7=5$3;5>705n2d9584<;:m127?=83.95;4=63d8j7?22=10c?8=8;29 7?12;<9j6`=9486?>i5>;=1<7*=978127`6;54o3412?6=,;3=6?8=f:l1=0<032e9:?;50;&1=3<5>;l0b?7::998k705<3:1(?79:341b>h51<0276a=63194?"51?09:?h4n3;6>d=7>5$3;5>705n2d9584m;:m1276=83.95;4=63d8j7?22j10c?8>f;29 7?12;<9j6`=948g?>i5>8o1<7*=978127`6h54o342`?6=,;3=6?8=f:l1=0;l0b?7::028?j419k0;6)<66;056c=i:0?1=<54o342e?6=,;3=6?8=f:l1=0<6:21d>;?6:18'6<0=:?8m7c<65;30?>i5>821<7*=978127`6<:4;n0552<72-82:7<92g9m6<3=9<10c?8<5;29 7?12;<9j6`=94822>=h:?9?6=4+2849634a3g8297?8;:m1265=83.95;4=63d8j7?228207b<93383>!4>>38=>k5a28795<=5$3;5>705n2d9584>a:9l6357290/>485270e?k4>=3;i76a=63a94?"51?09:?h4n3;6>4e<3f8=><4?:%0:2?41:o1e>4;51e98k706>3:1(?79:341b>h51<0:i65`2736>5<#:0<1>;>=0b?7::198k700=3:1(?79:3443>h51<0:76a=66194?"51?09::94n3;6>7=7>5$3;5>700?2d9584<;:m1227=83.95;4=6658j7?22=10c?880;29 7?12;<<;6`=9486?>i5>?l1<7*=97812216;54o345a?6=,;3=6?887:l1=0<032e9:;j50;&1=3<5>>=0b?7::998k701k3:1(?79:3443>h51<0276a=67`94?"51?09::94n3;6>d=5$3;5>700?2d9584m;:m123>=83.95;4=6658j7?22j10c?897;29 7?12;<<;6`=948g?>i5>?<1<7*=97812216h54o3451?6=,;3=6?887:l1=0>=0b?7::028?j41>:0;6)<66;0532=i:0?1=<54o3456?6=,;3=6?887:l1=0<6:21d>;8>:18'6<0=:?=<7c<65;30?>i5>?:1<7*=97812216<:4;n051c<72-82:7<9769m6<3=9<10c?88d;29 7?12;<<;6`=94822>=h:?=h6=4+284963103g8297?8;:m122d=83.95;4=6658j7?228207b<97`83>!4>>38=;:5a28795<=5$3;5>700?2d9584>a:9l631?290/>4852754?k4>=3;i76a=66694?"51?09::94n3;6>4e<3f8=:44?:%0:2?41?>1e>4;51e98k702m3:1(?79:3443>h51<0:i65`277g>5<#:0<1>;98;o0:1?7a32h9nk4?:083>5}#:k91=o:4H3`f?M4e=2e:n>4?::a6f6=83;1<7>t$3`0>7df3A8ii6F=b49l5cg=831vn?:j4;291?6=8r.9n>4>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd0>h0;654?:1y'6g5=:hn0D?lj;I0a1>o0i3:17d9m:188m2e=831b;h4?::k217<722c::<4?::k232<722e95l4?::a=05=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<3f29086=4?{%0a7?7di2B9nh5G2c78 4dd28=0e50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>=00;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0=7)<67;6gf>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e15<5;h0b3?6=3f;ni7>5;|`:1=<72?0;6=u+2c195fb<@;hn7E5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th29=4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi58950;494?6|,;h86N5j<1/=om54:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a=1`=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<31290=6=4?{%0a7?7dl2B9nh5G2c78 4dd2=1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn4:j:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo7:5;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900e50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>==0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0?7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl78983>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e01=1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th38;4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi45:50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=22290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c?;3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl74583>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm89094?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj1>86=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;07<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5:>:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo68f;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?<90;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0>o1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th3?h4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi4:m50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=5c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0i3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl73c83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm86;94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj19j6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;7<<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5=7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo686;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?;>0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0>?1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th3?84?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi4:=50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=53290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0:3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl73283>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm86394?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj1996=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;0`<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5:k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo67c;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?o6>90;66g>9483>>o5i>0;66a>ed83>>{e01h1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th38l4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi45750;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=2>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c?>3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl74683>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm86`94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj19h6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;74<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5=?:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo<=1g83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm2305>5<4290;w)N5jl1C>o;4$0``>415<d;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d5<5<5<=831b=o750;9j5gg=831d=hk50;9~f746k3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd5:;91<7850;2x 7d428io7E5<5<5<54?:783>5}#:k91=nj4H3`f?M4e=2.:nn4;b:&1=2<3l01b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn?<>b;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d"51>0?h55f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb3013?6=:3:1"6jj0?7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl=20;94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb3014?6=>3:15<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>??::180>5<7s-8i?7?la:J1f`=O:k?0(1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm2337>5<1290;w)N5jl1C>o;4$0``>1=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj;8;o7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th9><=50;494?6|,;h86N5j<1/=om54g9'6<1=2900e3<729q/>o=51bf8L7db3A8i96*>bb87f>"51>0?h:5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb303f?6=<3:15;h0b3?6=3f;ni7>5;|`1644=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=4954e48m4d12900e0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0?n6*=9687`3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj;8;m7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th9>N5j<1/=om56:&1=2<3l<1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn?<>6;296?6=8r.9n>4>c89K6gc<@;h>7)?mc;34?!4>?3>o96g>b783>>i6ml0;66sm232:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th9>=650;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn?4>ce9K6gc<@;h>7)?mc;68m4d12900e4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm2323>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8lo6=4<:183!4e;38ih6F=bd9K6g35<b883>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20da>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8l26=4<:183!4e;38ih6F=bd9K6g35<b283>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20d4>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8l>6=4<:183!4e;38ih6F=bd9K6g35<ad83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20d1>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8l;6=4<:183!4e;38ih6F=bd9K6g35<a`83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20gf>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8oh6=4<:183!4e;38ih6F=bd9K6g35<a783>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20gb>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>?>8:180>5<7s-8i?70;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e:;:=6=4<:183!4e;38ih6F=bd9K6g35<c483>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm2327>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>?><:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:;:96=4<:183!4e;38ih6F=bd9K6g35<bg83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm20d7>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:8o36=4<:183!4e;38ih6F=bd9K6g35<t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn>9m:180>5<7s-8i?7?la:J1f`=O:k?0(4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd4=k0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e;>k1<7=50;2x 7d428ij7E5<5;h0b3?6=3f;ni7>5;|`03=<72:0;6=u+2c195fg<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn>;7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo=87;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;61?l7e>3:17d?m7;29?j7bm3:17pl<9783>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e;<=1<7:50;2x 7d428n>7E5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`013<72=0;6=u+2c195a3<@;hn7Et$3`0>4ef3A8ii6F=b49'5ge=<;1b=o850;9j5g1=831d=hk50;9~f6?329086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=51bc8L7db3A8i96*>bb876>o6j?0;66g>b683>>i6ml0;66sm38194?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj:??6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:=;h3a2?6=3`;i;7>5;n3fa?6=3th85?4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a705=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f61529086=4?{%0a7?7di2B9nh5G2c78 4dd2=80e93:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl<5383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm36394?5=83:p(?l<:0ab?M4em2B9n85+1ca907=n9k<1<75f1c594?=h9lo1<75rb2;3>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<=7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th8;=4?:283>5}#:k91=no4H3`f?M4e=2.:nn4;2:k2f3<722c:n:4?::m2a`<722wi?5h50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f637290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kh1C>ok4H3`6?!7ek3>97d?m6;29?l7e?3:17b?je;29?xd40m0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm35g94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb24g>5<4290;w)N5jl1C>o;4$0``>145<5;n3fa?6=3th88i4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi?;m50;194?6|,;h86N5j<1/=om5439j5g0=831b=o950;9l5`c=831vn>6m:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd4>k0;6>4?:1y'6g5=9jk0D?lj;I0a1>"6jj0?>6g>b783>>o6j>0;66a>ed83>>{e;1k1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3f;ni7>5;|`0<<<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn>86:180>5<7s-8i?7?la:J1f`=O:k?0(4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd4<00;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e;?21<7=50;2x 7d428ij7E5<5;h0b3?6=3f;ni7>5;|`022<72:0;6=u+2c195fg<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn>:8:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo=96;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;61?l7e>3:17d?m7;29?j7bm3:17pl<8483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e;=<1<7:50;2x 7d428n>7E6=4<:183!4e;3;hm6F=bd9K6g3<,8hh69<4i0`5>5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`000<72=0;6=u+2c195a3<@;hn7Et$3`0>4ef3A8ii6F=b49'5ge=<;1b=o850;9j5g1=831d=hk50;9~f6g629086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=51bc8L7db3A8i96*>bb876>o6j?0;66g>b683>>i6ml0;66sm3`294?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj:<;6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:=;h3a2?6=3`;i;7>5;n3fa?6=3th85k4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a70`=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f61a29086=4?{%0a7?7di2B9nh5G2c78 4dd2=80em3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl<5d83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm36g94?5=83:p(?l<:0ab?M4em2B9n85+1ca907=n9k<1<75f1c594?=h9lo1<75rb2;g>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<h7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th8;i4?:283>5}#:k91=no4H3`f?M4e=2.:nn4;2:k2f3<722c:n:4?::m2a`<722wi?4m50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f63d290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kh1C>ok4H3`6?!7ek3>97d?m6;29?l7e?3:17b?je;29?xd4100;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm34c94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb25:>5<4290;w)N5jl1C>o;4$0``>145<5;n3fa?6=3th88k4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi?;k50;194?6|,;h86N5j<1/=om5439j5g0=831b=o950;9l5`c=831vn>6<:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd4>:0;6>4?:1y'6g5=9jk0D?lj;I0a1>"6jj0?>6g>b783>>o6j>0;66a>ed83>>{e;181<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3f;ni7>5;|`;a3<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5f3=831d=hk50;9~f=db290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900cb;3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl7b`83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm8d094?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj1h36=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;f3<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5l;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6kf;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?j;0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0mo1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th3mh4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi4im50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=gd290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900ccj3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl7a`83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm8ec94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj1k36=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;e1<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5o=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6k6;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?i90;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0m?1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th35n4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi4i=50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=?f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900cc:3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl79983>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm8e394?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj13=6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;=1<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn57=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6jd;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?kh0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0li1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th3o;4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi4ho50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f=e3290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900cb13:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl7c383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm8d:94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj1i;6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`;ff<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn5o9:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6le;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd?190;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e0jn1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th2894?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a=4b=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<2529086=4?{%0a7?4el2B9nh5G2c78m4072900e9;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e18=1<7:50;2x 7d428n>7E;6=4<:183!4e;38ih6F=bd9K6g35<5;h0b3?6=3f;ni7>5;|`:7c<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn4=j:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>;m0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm91d94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb81`>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th2?o4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a=5d=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<5f29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e19=1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`:73<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn4=::180>5<7s-8i?7an3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>;=0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm8gf94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb810>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th2??4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<5629086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e0o?1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`:6`<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn4:l:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm93594?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb86b>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th2844?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a=75=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f<2?29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e18l1<7:50;2x 7d428n>7E86=4<:183!4e;38ih6F=bd9K6g35<5;h0b3?6=3f;ni7>5;|`:7=<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn45<7s-8i?7bn3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd>:j0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm8dg94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb36b7?6=;3:15;n3fa?6=3th985o50;194?6|,;h86N5j<1/=om5579j5g0=831b=o950;9l5`c=831vn?:n2;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd5<>;1<7:50;2x 7d428n>7E357>53;294~"5j:0:ol5G2cg8L7d23-;io7;9;h3a2?6=3`;i;7>5;n3fa?6=3th98l?50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f72083:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd5<121<7=50;2x 7d428ij7Ej<7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`103`=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f72??3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3?=7d?m6;29?l7e?3:17b?je;29?xd5<0l1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`10=0=8391<7>t$3`0>4ef3A8ii6F=b49'5ge==?1b=o850;9j5g1=831d=hk50;9~f72>l3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl=47a94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb36;0?6=;3:15;h3a3?6=3f;ni7>5;|`10t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn?:9b;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d5;n3fa?6=3th98;o50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn?:72;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;75?l7e>3:17d?m7;29?j7bm3:17pl=48c94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj;>=57>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th985?50;194?6|,;h86N5j<1/=om5579j5g0=831b=o950;9l5`c=831vn?:69;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd57E3<7>53;294~"5j:0:ol5G2cg8L7d23-;io7;9;h3a2?6=3`;i;7>5;n3fa?6=3th984650;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f721?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd5<>l1<7=50;2x 7d428ij7E2;7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`1030=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f720m3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3?=7d?m6;29?l7e?3:17b?je;29?xd5<0<1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`102b=8391<7>t$3`0>4ef3A8ii6F=b49'5ge==?1b=o850;9j5g1=831d=hk50;9~f72>=3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl=47694?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb364g?6=;3:15;h3a3?6=3f;ni7>5;|`10<2=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn?:93;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d5;n3fa?6=3th98:650;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn?:61;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;75?l7e>3:17d?m7;29?j7bm3:17pl=4`;94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj;><;7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th984>50;194?6|,;h86N5j<1/=om5579j5g0=831b=o950;9l5`c=831vn?:n8;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd5<><1<7:50;2x 7d428n>7E3j7>53;294~"5j:0:ol5G2cg8L7d23-;io7;9;h3a2?6=3`;i;7>5;n3fa?6=3th98l950;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f720=3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd5<1o1<7=50;2x 7d428ij7Ej:7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`1022=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f72?l3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3?=7d?m6;29?l7e?3:17b?je;29?xd55;h0b3?6=3f;ni7>5;|`10=e=8391<7>t$3`0>4ef3A8ii6F=b49'5ge==?1b=o850;9j5g1=831d=hk50;9~f72f<3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl=46094?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb36;f?6=;3:15;h3a3?6=3f;ni7>5;|`10t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn?:9d;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d5;n3fa?6=3th98;<50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn?:8a;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;75?l7e>3:17d?m7;29?j7bm3:17pl=48094?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj;>==7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th98:750;194?6|,;h86N5j<1/=om5579j5g0=831b=o950;9l5`c=831vnlok:182e4<729q/>o=518:8L7db3A8i96T>0e824~542:n1?n4;a;63>73=9l08n7=6:0f961<403;h6??53`817?{#:k<1=l;4nb694>hdj3:0b5;50:l24f<73go?6=5a16494>h6l80;7c<68;28j421291e=k650:l`e?6i6=5a1b194>"5j>0:h?5+ce8214=#99o1=484n0;4>5=#:h31>?k4$0ae>62<,;h364cc3-8j47?lf:m16c<722c9m<4?::k212<722c:984?::m2`1<722c:944?::k1e0<722c:h>4?::k21=<722c9m?4?::k21c<722e:894?::k1=a<722c:9l4?::m1f1<722e9?=4?::k1=c<722c95h4?::k21f<722c9m>4?::k213<722c:994?::k224<722c:9>4?::k1e5<722e:j44?::k21g<722c:9h4?::k200<722c:8>4?::k1e1<722c:9i4?::k1e3<722ein7>5$3;5>ge6=54occ94?"51?0io6`=9482?>ie13:1(?79:ca8j7?22;10co650;&1=31=h51<0>76am5;29 7?12ki0b?7::798kg2=83.95;4mc:l1=0<032ei?7>5$3;5>ge6554oc094?"51?0io6`=948:?>ie83:1(?79:ca8j7?22h10clh50;&1=3f=h51<0o76anc;29 7?12ki0b?7::d98kdd=83.95;4mc:l1=05$3;5>ge6<>4;nc:>5<#:0<1nn5a287954=h51<0:>65`a683>!4>>3hh7c<65;30?>id;3:1(?79:ca8j7?228>07bm=:18'6<0=jj1e>4;51498kf7=83.95;4mc:l1=0<6>21do=4?:%0:2?dd3g8297?8;:mab?6=,;3=6om4n3;6>4><3fhn6=4+2849ff=i:0?1=454ocf94?"51?0io6`=9482e>=hj80;6)<66;``?k4>=3;i76an6;29 7?12ki0b?7::0a8?jg2290/>485bb9m6<3=9m10e4851g58j7?22810e4851g58j7?22:10e4851g58j7?22<10e48524a8j7?22910e?;n:18'6<0=:290/>48524a8j7?22;10e?;7:18'6<0=:48524a8j7?22=10e?;9:18'6<0=:48524a8j7?22?10e?;;:18'6<0=:48524a8j7?22110e?;>:18'6<0=:48524a8j7?22h10e?:i:18'6<0=:48524a8j7?22j10e?:k:18'6<0=:48524a8j7?22l10e?:m:18'6<0=:48524a8j7?228:07d<;9;29 7?12;?h7c<65;32?>o5<>0;6)<66;06g>h51<0:>65f25494?"51?099n5a287956=>6=4+284960e6<:4;h070?6=,;3=6?;l;o0:1?7232c98>4?:%0:2?42k2d9584>6:9j614=83.95;4=5b9m6<3=9>10e?:>:18'6<0=:=3;276g=3g83>!4>>38>o6`=9482e>=n::o1<7*=97811f=i:0?1=o54i31`>5<#:0<1>8m4n3;6>4e<3`88n7>5$3;5>73d3g8297?k;:k17d<72-82:7<:c:l1=0<6m21b>>750;&1=3<5=j1e>4;51g98m75?290/>48524a8j7?22;:07d<<7;29 7?12;?h7c<65;02?>o5;?0;6)<66;06g>h51<09>65f22794?"51?099n5a287966=6?:4;h007?6=,;3=6?;l;o0:1?4232c9:<4?:%0:2?42k2d9584=6:9j636=83.95;4=5b9m6<3=:>10e?;i:18'6<0=:=38276g=5e83>!4>>38>o6`=9481e>=n:<91<7*=97811f=i:0?1>o54i36;>5<#:0<1>8m4n3;6>7e<3`88h7>5$3;5>73d3g8297>?50;&1=3<5=j1e>4;52g98mf>=83.95;4l9:l1=0<732ch;7>5$3;5>f?6<54ib494?"51?0h56`=9481?>od=3:1(?79:b;8j7?22:10e<=n:18'6<0=9:h0b?7::198m45>290/>48512`8j7?22810e<=7:18'6<0=9:h0b?7::398m450290/>48512`8j7?22:10e<=9:18'6<0=9:h0b?7::598m452290/>48512`8j7?22<10e<=;:18'6<0=9:h0b?7::798m454290/>48512`8j7?22>10e<=>:18'6<0=9:h0b?7::998m457290/>48512`8j7?22010e<48512`8j7?22k10e<48512`8j7?22m10e<48512`8j7?22o10e<<6:18'6<0=9:h0b?7::028?l7503:1(?79:01a?k4>=3;:76g>2783>!4>>3;8n6`=94826>=n9;?1<7*=97827g=i:0?1=>54i007>5<#:0<1=>l4n3;6>42<3`;9?7>5$3;5>45e3g8297?:;:k267<72-82:7?21b=??50;&1=3<6;k1e>4;51698m447290/>48512`8j7?228207d?>f;29 7?1289i7c<65;3:?>o69l0;6)<66;30f>h51<0:m65f10f94?"51?0:?o5a28795g=6e:9j54>=83.95;4>3c9m6<3=9o10e3:1(?79:01a?k4>=38:76g>1483>!4>>3;8n6`=94816>=n98>1<7*=97827g=i:0?1>>54i030>5<#:0<1=>l4n3;6>72<3`;:>7>5$3;5>45e3g8297<:;:k205<72-82:7?21b=>h50;&1=3<6;k1e>4;52698m45b290/>48512`8j7?22;207d?o6;j0;6)<66;30f>h51<09m65f12094?"51?0:?o5a28796g=6?m4;h32g?6=,;3=6<=m;o0:1?4c32c:=<4?:%0:2?74j2d9584=e:9j546=83.95;4>3c9m6<3=:o10c<:i:18'6<0=9<:0b?7::198k42b290/>4851428j7?22810c<:k:18'6<0=9<:0b?7::398k42d290/>4851428j7?22:10e:18'6<0=9j80b?7::198m4e7290/>4851b08j7?22810e4851b08j7?22:10e5$3;5>``6<54ida94?"51?0nj6`=9481?>obj3:1(?79:dd8j7?22:10eho50;&1=30=h51<0=76gj7;29 7?12ll0b?7::698mc1=83.95;4jf:l1=05$3;5>``6454ig794?"51?0nj6`=948b?>oa<3:1(?79:dd8j7?22k10ek=50;&1=3a=h51<0n76gi0;29 7?12ll0b?7::g98m`0=83.95;4jf:l1=0<6821bi84?:%0:2?ca3g8297?>;:kg3?6=,;3=6i64n3;6>5=h51<0:76gk5;29 7?12m20b?7::398ma2=83.95;4k8:l1=0<432co?7>5$3;5>a>6954ie094?"51?0o46`=9486?>oc93:1(?79:e:8j7?22?10ei>50;&1=3==h51<0276gke;29 7?12m20b?7::`98mab=83.95;4k8:l1=05$3;5>a>6n54ie`94?"51?0o46`=948g?>oci3:1(?79:e:8j7?22l10ei750;&1=346<3`in6=4+2849`==i:0?1=<54o022>5<#:0<1==<4n3;6>5=6<54ogd94?"51?0:=hnl0;6)<66;336>h51<0876aid;29 7?128:97c<65;68?j`d290/>4851108j7?22<10ckl50;&1=3<68;1e>4;56:9lbd<72-82:7??2:l1=0<032e:32e:<54?:%0:2?77:2d9584n;:m242<72-82:7??2:l1=05$3;5>4653g8297??;:me=;o0:1?7632c:444?:%0:2?7?i2d9584?;:k2<=<72-82:7?7a:l1=0<632c:4:4?:%0:2?7?i2d9584=;:k2<3<72-82:7?7a:l1=0<432c:484?:%0:2?7?i2d9584;;:k2<6<72-82:7?7a:l1=0<232c:4?4?:%0:2?7?i2d95849;:k2<4<72-82:7?7a:l1=0<032c:4=4?:%0:2?7?i2d95847;:k23c<72-82:7?7a:l1=0<>32c:;h4?:%0:2?7?i2d9584n;:k23a<72-82:7?7a:l1=00:9j5=`=83.95;4>8`9m6<3=9810e<6j:18'6<0=91k0b?7::008?l7?l3:1(?79:0:b?k4>=3;876g>8b83>!4>>3;3m6`=94820>=n91h1<7*=97825<#:0<1=5o4n3;6>40<3`;<57>5$3;5>4>f3g8297?8;:k23=<72-82:7?7a:l1=0<6021d>?;50;&1=3<5:?1e>4;50:9l672=83.95;4=279m6<3=921d>?=50;&1=3<5:?1e>4;52:9l674=83.95;4=279m6<3=;21d>??50;&1=3<5:?1e>4;54:9l676=83.95;4=279m6<3==21d>4;56:9l64c=83.95;4=279m6<3=?21d>4;58:9l64d=83.95;4=279m6<3=121d>4;5a:9l64?=83.95;4=279m6<3=j21d><650;&1=3<5:?1e>4;5c:9l641=83.95;4=279m6<3=l21d><850;&1=3<5:?1e>4;5e:9l643=83.95;4=279m6<3=n21d><:50;&1=3<5:?1e>4;51198k774290/>4852348j7?228;07b<>1;29 7?12;8=7c<65;31?>i5990;6)<66;012>h51<0:?65`21d94?"51?09>;5a287951=6<;4;n03`?6=,;3=6?<9;o0:1?7132e92d9584>7:9l65d=83.95;4=279m6<3=9110c?>n:18'6<0=:;<0b?7::0;8?j4713:1(?79:305?k4>=3;j76a=0983>!4>>389:6`=9482f>=h:9<1<7*=978163=i:0?1=n54o326>5<#:0<1>?84n3;6>4b<3f8;87>5$3;5>7413g8297?j;:m146<72-82:7<=6:l1=0<6n21d>=<50;&1=3<5:?1e>4;52198k766290/>4852348j7?22;;07bi6no0;6)<66;012>h51<09?65`1gg94?"51?09>;5a287961=6?;4;n01f?6=,;3=6?<9;o0:1?4132e9>l4?:%0:2?45>2d9584=7:9l67?=83.95;4=279m6<3=:110c?<7:18'6<0=:;<0b?7::3;8?j45?3:1(?79:305?k4>=38j76a=1e83>!4>>389:6`=9481f>=h:881<7*=978163=i:0?1>n54o324>5<#:0<1>?84n3;6>7b<3f;mo7>5$3;5>7413g82974;h53>5<#:0<1;?5a28795>=n>o0;6)<66;51?k4>=3807d8j:18'6<0=?;1e>4;53:9j2a<72-82:79=;o0:1?2<3`!4>>3=97c<65;48?l0f290/>485739m6<3=?21b:44?:%0:2?153g829764;h4;>5<#:0<1;?5a2879=>=n>?0;6)<66;51?k4>=3k07d8::18'6<0=?;1e>4;5b:9j21<72-82:79=;o0:1?e<3`<86=4+284937=i:0?1h65f6383>!4>>3=97c<65;g8?l06290/>485739m6<3=n21b:=4?:%0:2?153g8297??;:k6b?6=,;3=6:<4n3;6>47<3`?n6=4+284937=i:0?1=?54i4f94?"51?0<>6`=94827>=n?00;6)<66;51?k4>=3;?76g88;29 7?12>80b?7::078?l10290/>485739m6<3=9?10e:850;&1=3<0:2d9584>7:9j30<72-82:79=;o0:1?7?32c<87>5$3;5>246<74;h50>5<#:0<1;?5a28795d=h51<0:n65f5b83>!4>>3=97c<65;3`?>o2j3:1(?79:608j7?228n07b<7c;29 7?12;2o7c<65;28?j4?j3:1(?79:3:g?k4>=3;07b<7a;29 7?12;2o7c<65;08?j4?13:1(?79:3:g?k4>=3907b<78;29 7?12;2o7c<65;68?j4??3:1(?79:3:g?k4>=3?07b<76;29 7?12;2o7c<65;48?j4?=3:1(?79:3:g?k4>=3=07b<73;29 7?12;2o7c<65;:8?j4?:3:1(?79:3:g?k4>=3307b<71;29 7?12;2o7c<65;c8?j4?83:1(?79:3:g?k4>=3h07b<8f;29 7?12;2o7c<65;a8?j40m3:1(?79:3:g?k4>=3n07b<8d;29 7?12;2o7c<65;g8?j40k3:1(?79:3:g?k4>=3l07b<8b;29 7?12;2o7c<65;33?>i5?h0;6)<66;0;`>h51<0:=65`26:94?"51?094i5a287957=6<=4;n042?6=,;3=6?6k;o0:1?7332e9;84?:%0:2?4?l2d9584>5:9l622=83.95;4=8e9m6<3=9?10c?9<:18'6<0=:1n0b?7::058?j40:3:1(?79:3:g?k4>=3;376a=7083>!4>>383h6`=9482=>=h:>:1<7*=97815<#:0<1>5j4n3;6>4d<3f8=h7>5$3;5>7>c3g8297?l;:m12f<72-82:7<7d:l1=0<6l21d>;l50;&1=3<50m1e>4;51d98k70f290/>48529f8j7?228l07b<99;29 7?12;2o7c<65;03?>i5>10;6)<66;0;`>h51<09=65`27594?"51?094i5a287967=6?=4;n051?6=,;3=6?6k;o0:1?4332e9:94?:%0:2?4?l2d9584=5:9l6<4=83.95;4=8e9m6<3=:?10c?7>:18'6<0=:1n0b?7::358?j4>83:1(?79:3:g?k4>=38376a=8g83>!4>>383h6`=9481=>=h:1o1<7*=9781l54o3:7>5<#:0<1>5j4n3;6>7d<3f8<57>5$3;5>7>c3g8297;=50;&1=3<50m1e>4;52d98k705290/>48529f8j7?22;l07d7l:18'6<0=1m1e>4;50:9j=g<72-82:77k;o0:1?7<3`3j6=4+2849=a=i:0?1>65f9883>!4>>33o7c<65;18?l??290/>4859e9m6<3=<21b5:4?:%0:2??c3g8297;4;h;5>5<#:0<15i5a28792>=n1<0;6)<66;;g?k4>=3=07d7;:18'6<0=1m1e>4;58:9j=6<72-82:77k;o0:1??<3`3:6=4+2849=a=i:0?1m65f9183>!4>>33o7c<65;`8?l>a290/>4859e9m6<3=k21b4h4?:%0:2??c3g8297j4;h:g>5<#:0<15i5a2879a>=n0j0;6)<66;;g?k4>=3l07d6m:18'6<0=1m1e>4;51198m=g=83.95;46d:l1=0<6921b444?:%0:2??c3g8297?=;:k;45<3`k?6=4+2849=a=i:0?1=954i`194?"51?02h6`=94821>=ni;0;6)<66;;g?k4>=3;=76gn1;29 7?120n0b?7::058?lg7290/>4859e9m6<3=9110e4h50;&1=3<>l2d9584>9:9j=`<72-82:77k;o0:1?7f32c2>7>5$3;5>65<#:0<15i5a28795f=h51<0:h65f15;94?"51?0:8l5a28794>=n9=21<7*=97820d=i:0?1=65f15594?"51?0:8l5a28796>=zjh?n6=4>a083>5}#:k91=464H3`f?M4e=2P:0z10>6b=;j0?m7:?:3795`<4j39260b83?kc3291e=:850:l2`4<73g8247>4n065>5=i9o21<6`la;28j42e291e=n=50:&1f2<6l;1/oi4>979'55c=90<0b<78:19'6d?=:;o0(28om7)ml:0gg?!4f03;hj6a=2g83>>o5i80;66g>5683>>o6=<0;66a>d583>>o6=00;66g=a483>>o6l:0;66g>5983>>o5i;0;66g>5g83>>i6<=0;66g=9e83>>o6=h0;66a=b583>>i5;90;66g=9g83>>o51l0;66g>5b83>>o5i:0;66g>5783>>o6==0;66g>6083>>o6=:0;66g=a183>>i6n00;66g>5c83>>o6=l0;66g>4483>>o6<:0;66g=a583>>o6=m0;66g=a783>>iej3:1(?79:ca8j7?22910coo50;&1=3;:ma=?6=,;3=6om4n3;6>7=h51<0876am7;29 7?12ki0b?7::598kg0=83.95;4mc:l1=0<232ei97>5$3;5>ge6;54oc694?"51?0io6`=9484?>ie;3:1(?79:ca8j7?22110co<50;&1=3d=h51<0i76ane;29 7?12ki0b?7::b98kdb=83.95;4mc:l1=05$3;5>ge6h54o``94?"51?0io6`=948e?>ifi3:1(?79:ca8j7?228:07bo6:18'6<0=jj1e>4;51098kd>=83.95;4mc:l1=0<6:21dm:4?:%0:2?dd3g8297?<;:m`7?6=,;3=6om4n3;6>42<3fi96=4+2849ff=i:0?1=854ob394?"51?0io6`=94822>=hk90;6)<66;``?k4>=3;<76amf;29 7?12ki0b?7::0:8?jdb290/>485bb9m6<3=9010coj50;&1=3a:9lf4<72-82:7ll;o0:1?7e32ej:7>5$3;5>ge65<#:0<1nn5a28795a=6=54i0d6>5<#:0<1=k94n3;6>4=6?54i0d0>5<#:0<1=k94n3;6>6=6954i0d2>5<#:0<1=k94n3;6>0=6;54i37a>5<#:0<1>8m4n3;6>5=6<54i37:>5<#:0<1>8m4n3;6>7=6>54i374>5<#:0<1>8m4n3;6>1=6854i376>5<#:0<1>8m4n3;6>3=6:54i371>5<#:0<1>8m4n3;6>==6454i373>5<#:0<1>8m4n3;6>d=m6=4+284960e6o54i36f>5<#:0<1>8m4n3;6>f=o6=4+284960e6i54i36`>5<#:0<1>8m4n3;6>`=i6=4+284960e6k54i36b>5<#:0<1>8m4n3;6>46<3`8?57>5$3;5>73d3g8297?>;:k102<72-82:7<:c:l1=0<6:21b>9850;&1=3<5=j1e>4;51298m722290/>48524a8j7?228>07d<;4;29 7?12;?h7c<65;36?>o5<:0;6)<66;06g>h51<0::65f25094?"51?099n5a287952=:6=4+284960e6<64;h074?6=,;3=6?;l;o0:1?7>32c9?k4?:%0:2?42k2d9584>a:9j66c=83.95;4=5b9m6<3=9k10e?=l:18'6<0=:=3;o76g=3`83>!4>>38>o6`=9482a>=n::31<7*=97811f=i:0?1=k54i31;>5<#:0<1>8m4n3;6>76<3`88;7>5$3;5>73d3g8297<>;:k173<72-82:7<:c:l1=0<5:21b>>;50;&1=3<5=j1e>4;52298m753290/>48524a8j7?22;>07d<<3;29 7?12;?h7c<65;06?>o5>80;6)<66;06g>h51<09:65f27294?"51?099n5a287962=6?64;h06a?6=,;3=6?;l;o0:1?4>32c99i4?:%0:2?42k2d9584=a:9j605=83.95;4=5b9m6<3=:k10e?:7:18'6<0=:=38o76g=3383>!4>>38>o6`=9481a>=n::;1<7*=97811f=i:0?1>k54ib:94?"51?0h56`=9483?>od?3:1(?79:b;8j7?22810en850;&1=36=6=54i01:>5<#:0<1=>l4n3;6>4=6?54i014>5<#:0<1=>l4n3;6>6=6954i016>5<#:0<1=>l4n3;6>0=6;54i010>5<#:0<1=>l4n3;6>2=6554i013>5<#:0<1=>l4n3;6><=6l54i00f>5<#:0<1=>l4n3;6>g=6n54i00`>5<#:0<1=>l4n3;6>a=6h54i00b>5<#:0<1=>l4n3;6>c=6<>4;h31;4?:%0:2?74j2d9584>2:9j573=83.95;4>3c9m6<3=9:10e<<;:18'6<0=9:h0b?7::068?l75;3:1(?79:01a?k4>=3;>76g>2383>!4>>3;8n6`=94822>=n9;;1<7*=97827g=i:0?1=:54i003>5<#:0<1=>l4n3;6>4><3`;:j7>5$3;5>45e3g8297?6;:k25`<72-82:7?4;51c98m47e290/>48512`8j7?228i07d?>a;29 7?1289i7c<65;3g?>o6900;6)<66;30f>h51<0:i65f10:94?"51?0:?o5a28795c=6?>4;h322?6=,;3=6<=m;o0:1?4632c:=84?:%0:2?74j2d9584=2:9j542=83.95;4>3c9m6<3=::10e=38>76g>4183>!4>>3;8n6`=94812>=n9:l1<7*=97827g=i:0?1>:54i01f>5<#:0<1=>l4n3;6>7><3`;8h7>5$3;5>45e3g8297<6;:k27f<72-82:7?<50;&1=3<6;k1e>4;52c98m440290/>48512`8j7?22;i07d?>c;29 7?1289i7c<65;0g?>o6980;6)<66;30f>h51<09i65f10294?"51?0:?o5a28796c=m6=4+28495066=54o06f>5<#:0<1=8>4n3;6>4=o6=4+28495066?54o06`>5<#:0<1=8>4n3;6>6=6=54i0a3>5<#:0<1=n<4n3;6>4=6?54i0`f>5<#:0<1=n<4n3;6>6=6954idg94?"51?0nj6`=9483?>obl3:1(?79:dd8j7?22810ehm50;&1=36=h51<0?76gj9;29 7?12ll0b?7::498m`>=83.95;4jf:l1=0<132cn;7>5$3;5>``6:54ig594?"51?0nj6`=948;?>oa>3:1(?79:dd8j7?22010ek;50;&1=3g=h51<0h76gi2;29 7?12ll0b?7::e98mc7=83.95;4jf:l1=05$3;5>``6k54id494?"51?0nj6`=94824>=nm<0;6)<66;ge?k4>=3;:76gk7;29 7?12m20b?7::198ma0=83.95;4k8:l1=0<632co97>5$3;5>a>6?54ie694?"51?0o46`=9480?>oc;3:1(?79:e:8j7?22=10ei<50;&1=33=h51<0<76gj0;29 7?12m20b?7::998ma`=83.95;4k8:l1=0<>32coi7>5$3;5>a>6l54ief94?"51?0o46`=948a?>ock3:1(?79:e:8j7?22j10eil50;&1=3`=h51<0m76glf;29 7?12m20b?7::028?leb290/>485d99m6<3=9810c<>>:18'6<0=9980b?7::198k467290/>4851108j7?22810ckh50;&1=3<68;1e>4;52:9lb`<72-82:7??2:l1=0<432emh7>5$3;5>4653g8297:4;nd`>5<#:0<1==<4n3;6>0=!4>>3;;>6`=9484?>i68h0;6)<66;336>h51<0376a>0883>!4>>3;;>6`=948:?>i6810;6)<66;336>h51<0j76a>0683>!4>>3;;>6`=948a?>i68?0;6)<66;336>h51<0h76a>0483>!4>>3;;>6`=948g?>i68=0;6)<66;336>h51<0n76a>0283>!4>>3;;>6`=948e?>ia13:1(?79:021?k4>=3;;76ai8;29 7?128:97c<65;32?>o6000;6)<66;3;e>h51<0;76g>8983>!4>>3;3m6`=9482?>o60>0;6)<66;3;e>h51<0976g>8783>!4>>3;3m6`=9480?>o60<0;6)<66;3;e>h51<0?76g>8283>!4>>3;3m6`=9486?>o60;0;6)<66;3;e>h51<0=76g>8083>!4>>3;3m6`=9484?>o6090;6)<66;3;e>h51<0376g>7g83>!4>>3;3m6`=948:?>o6?l0;6)<66;3;e>h51<0j76g>7e83>!4>>3;3m6`=948a?>o6?j0;6)<66;3;e>h51<0h76g>7c83>!4>>3;3m6`=948g?>o6?h0;6)<66;3;e>h51<0n76g>9083>!4>>3;3m6`=948e?>o6190;6)<66;3;e>h51<0:<65f19d94?"51?0:4l5a287954=6<<4;h3;`?6=,;3=6<6n;o0:1?7432c:4n4?:%0:2?7?i2d9584>4:9j5=d=83.95;4>8`9m6<3=9<10e<6;:18'6<0=91k0b?7::048?l7013:1(?79:0:b?k4>=3;<76g>7983>!4>>3;3m6`=9482<>=h:;?1<7*=978163=i:0?1<65`23694?"51?09>;5a28795>=h:;91<7*=978163=i:0?1>65`23094?"51?09>;5a28797>=h:;;1<7*=978163=i:0?1865`23294?"51?09>;5a28791>=h:8l1<7*=978163=i:0?1:65`20g94?"51?09>;5a28793>=h:8i1<7*=978163=i:0?1465`20`94?"51?09>;5a2879=>=h:8k1<7*=978163=i:0?1m65`20;94?"51?09>;5a2879f>=h:821<7*=978163=i:0?1o65`20594?"51?09>;5a2879`>=h:8<1<7*=978163=i:0?1i65`20794?"51?09>;5a2879b>=h:8>1<7*=978163=i:0?1==54o330>5<#:0<1>?84n3;6>47<3f8:=7>5$3;5>7413g8297?=;:m155<72-82:7<=6:l1=0<6;21d>=h50;&1=3<5:?1e>4;51598k76b290/>4852348j7?228?07bi58j0;6)<66;012>h51<0:;65`21`94?"51?09>;5a28795==6<74;n03=?6=,;3=6?<9;o0:1?7f32e9<54?:%0:2?45>2d9584>b:9l650=83.95;4=279m6<3=9j10c?>::18'6<0=:;<0b?7::0f8?j47<3:1(?79:305?k4>=3;n76a=0283>!4>>389:6`=9482b>=h:981<7*=978163=i:0?1>=54o322>5<#:0<1>?84n3;6>77<3f8;<7>5$3;5>7413g8297<=;:m2bc<72-82:7<=6:l1=0<5;21d=kk50;&1=3<5:?1e>4;52598k4`c290/>4852348j7?22;?07b<=b;29 7?12;8=7c<65;05?>i5:h0;6)<66;012>h51<09;65`23;94?"51?09>;5a28796==6?74;n013?6=,;3=6?<9;o0:1?4f32e9=i4?:%0:2?45>2d9584=b:9l644=83.95;4=279m6<3=:j10c?>8:18'6<0=:;<0b?7::3f8?j7ak3:1(?79:305?k4>=38n76a>fc83>!4>>389:6`=9481b>=n?80;6)<66;51?k4>=3:07d9?:18'6<0=?;1e>4;51:9j2c<72-82:79=;o0:1?4<3`!4>>3=97c<65;68?l0d290/>485739m6<3==21b:o4?:%0:2?153g829784;h4b>5<#:0<1;?5a28793>=n>00;6)<66;51?k4>=3207d87:18'6<0=?;1e>4;59:9j23<72-82:79=;o0:1?g<3`<>6=4+284937=i:0?1n65f6583>!4>>3=97c<65;a8?l04290/>485739m6<3=l21b:?4?:%0:2?153g8297k4;h42>5<#:0<1;?5a2879b>=n>90;6)<66;51?k4>=3;;76g:f;29 7?12>80b?7::038?l3b290/>485739m6<3=9;10e8j50;&1=3<0:2d9584>3:9j3<<72-82:79=;o0:1?7332c<47>5$3;5>246<;4;h54>5<#:0<1;?5a287953=<1<7*=97846>h51<0:;65f7483>!4>>3=97c<65;3;?>o0<3:1(?79:608j7?228307d9<:18'6<0=?;1e>4;51`98m31=83.95;482:l1=0<6j21b9n4?:%0:2?153g8297?l;:k6f?6=,;3=6:<4n3;6>4b<3f83o7>5$3;5>7>c3g8297>4;n0;f?6=,;3=6?6k;o0:1?7<3f83m7>5$3;5>7>c3g8297<4;n0;=?6=,;3=6?6k;o0:1?5<3f8347>5$3;5>7>c3g8297:4;n0;3?6=,;3=6?6k;o0:1?3<3f83:7>5$3;5>7>c3g829784;n0;1?6=,;3=6?6k;o0:1?1<3f83?7>5$3;5>7>c3g829764;n0;6?6=,;3=6?6k;o0:1??<3f83=7>5$3;5>7>c3g8297o4;n0;4?6=,;3=6?6k;o0:1?d<3f85$3;5>7>c3g8297m4;n04a?6=,;3=6?6k;o0:1?b<3f85$3;5>7>c3g8297k4;n04g?6=,;3=6?6k;o0:1?`<3f85$3;5>7>c3g8297??;:m13d<72-82:7<7d:l1=0<6921d>:650;&1=3<50m1e>4;51398k710290/>48529f8j7?228907b<86;29 7?12;2o7c<65;37?>i5?<0;6)<66;0;`>h51<0:965`26694?"51?094i5a287953=6<94;n046?6=,;3=6?6k;o0:1?7?32e9;<4?:%0:2?4?l2d9584>9:9l626=83.95;4=8e9m6<3=9h10c?8i:18'6<0=:1n0b?7::0`8?j41l3:1(?79:3:g?k4>=3;h76a=6b83>!4>>383h6`=9482`>=h:?h1<7*=97815<#:0<1>5j4n3;6>4`<3f8=57>5$3;5>7>c3g8297;950;&1=3<50m1e>4;52398k701290/>48529f8j7?22;907b<95;29 7?12;2o7c<65;07?>i5>=0;6)<66;0;`>h51<09965`28094?"51?094i5a287963=6?94;n0:4?6=,;3=6?6k;o0:1?4?32e94k4?:%0:2?4?l2d9584=9:9l6=c=83.95;4=8e9m6<3=:h10c?6;:18'6<0=:1n0b?7::3`8?j4013:1(?79:3:g?k4>=38h76a=6d83>!4>>383h6`=9481`>=h:?91<7*=9781h54o341>5<#:0<1>5j4n3;6>7`<3`3h6=4+2849=a=i:0?1<65f9c83>!4>>33o7c<65;38?l?f290/>4859e9m6<3=:21b544?:%0:2??c3g8297=4;h;;>5<#:0<15i5a28790>=n1>0;6)<66;;g?k4>=3?07d79:18'6<0=1m1e>4;56:9j=0<72-82:77k;o0:1?1<3`3?6=4+2849=a=i:0?1465f9283>!4>>33o7c<65;;8?l?6290/>4859e9m6<3=i21b5=4?:%0:2??c3g8297l4;h:e>5<#:0<15i5a2879g>=n0l0;6)<66;;g?k4>=3n07d6k:18'6<0=1m1e>4;5e:9j=n000;6)<66;;g?k4>=3;976g78;29 7?120n0b?7::018?lg3290/>4859e9m6<3=9=10el=50;&1=3<>l2d9584>5:9je7<72-82:77k;o0:1?7132cj=7>5$3;5>6<94;hc3>5<#:0<15i5a28795==h51<0:565f9d83>!4>>33o7c<65;3b?>o>:3:1(?79:8f8j7?228h07d68:18'6<0=1m1e>4;51b98m=0=83.95;46d:l1=0<6l21b=9750;&1=3<64;50:9j51>=83.95;4>4`9m6<3=921b=9950;&1=3<64;52:9~fd4c290:m<4?:1y'6g5=9020D?lj;I0a1>\68m0:;6?;51d80f?5>28n1>94<8;3`>77=;h09?7s+2c495d31<6`lb;28j=3=82d:5=i9><1<6`>d083?k4>03:0b<:9:19m5c>=82dhm7>4n06a>5=i9j91<6*=b682`7=#km0:9<5+11g95<0:4$3`;>4cd3-89i7<4$3`:>4ca3-ih6k4?::k1e4<722c:9:4?::k210<722e:h94?::k21<<722c9m84?::k2`6<722c:954?::k1e7<722c:9k4?::m201<722c95i4?::k21d<722e9n94?::m175<722c95k4?::k1=`<722c:9n4?::k1e6<722c:9;4?::k211<722c::<4?::k216<722c9m=4?::m2b<<722c:9o4?::k21`<722c:884?::k206<722c9m94?::k21a<722c9m;4?::maf?6=,;3=6om4n3;6>5=h51<0:76am9;29 7?12ki0b?7::398kg>=83.95;4mc:l1=0<432ei;7>5$3;5>ge6954oc494?"51?0io6`=9486?>ie=3:1(?79:ca8j7?22?10co:50;&1=3==h51<0276am0;29 7?12ki0b?7::`98kd`=83.95;4mc:l1=05$3;5>ge6n54o`f94?"51?0io6`=948g?>ifk3:1(?79:ca8j7?22l10cll50;&1=346<3fk26=4+2849ff=i:0?1=<54o`:94?"51?0io6`=94826>=hi>0;6)<66;``?k4>=3;876al3;29 7?12ki0b?7::068?je5290/>485bb9m6<3=9<10cn?50;&1=36:9lg5<72-82:7ll;o0:1?7032eij7>5$3;5>ge6<64;n`f>5<#:0<1nn5a28795<=h51<0:m65`b083>!4>>3hh7c<65;3a?>if>3:1(?79:ca8j7?228i07bo::18'6<0=jj1e>4;51e98m4`1290/>4851g58j7?22910e4851g58j7?22;10e4851g58j7?22=10e:18'6<0=9o=0b?7::498m4`7290/>4851g58j7?22?10e?;m:18'6<0=:48524a8j7?22810e?;6:18'6<0=:48524a8j7?22:10e?;8:18'6<0=:48524a8j7?22<10e?;::18'6<0=:48524a8j7?22>10e?;=:18'6<0=:48524a8j7?22010e?;?:18'6<0=:48524a8j7?22k10e?:j:18'6<0=:48524a8j7?22m10e?:l:18'6<0=:48524a8j7?22o10e?:n:18'6<0=:=3;:76g=4683>!4>>38>o6`=94826>=n:=<1<7*=97811f=i:0?1=>54i366>5<#:0<1>8m4n3;6>42<3`8?87>5$3;5>73d3g8297?:;:k106<72-82:7<:c:l1=0<6>21b>9<50;&1=3<5=j1e>4;51698m726290/>48524a8j7?228207d<;0;29 7?12;?h7c<65;3:?>o5;o0;6)<66;06g>h51<0:m65f22g94?"51?099n5a28795g=6e:9j66?=83.95;4=5b9m6<3=9o10e?=7:18'6<0=:=38:76g=3783>!4>>38>o6`=94816>=n::?1<7*=97811f=i:0?1>>54i317>5<#:0<1>8m4n3;6>72<3`88?7>5$3;5>73d3g8297<:;:k124<72-82:7<:c:l1=0<5>21b>;>50;&1=3<5=j1e>4;52698m73a290/>48524a8j7?22;207d<:e;29 7?12;?h7c<65;0:?>o5=m0;6)<66;06g>h51<09m65f24194?"51?099n5a28796g=36=4+284960e6?m4;h00`?6=,;3=6?;l;o0:1?4c32c9??4?:%0:2?42k2d9584=e:9j667=83.95;4=5b9m6<3=:o10en650;&1=34=h51<0976gl5;29 7?12j30b?7::298m45f290/>48512`8j7?22910e<=6:18'6<0=9:h0b?7::098m45?290/>48512`8j7?22;10e<=8:18'6<0=9:h0b?7::298m451290/>48512`8j7?22=10e<=::18'6<0=9:h0b?7::498m453290/>48512`8j7?22?10e<=<:18'6<0=9:h0b?7::698m456290/>48512`8j7?22110e<=?:18'6<0=9:h0b?7::898m44a290/>48512`8j7?22h10e<48512`8j7?22j10e<48512`8j7?22l10e<290/>48512`8j7?228:07d?=8;29 7?1289i7c<65;32?>o6:?0;6)<66;30f>h51<0:>65f13794?"51?0:?o5a287956=6<:4;h317?6=,;3=6<=m;o0:1?7232c:>?4?:%0:2?74j2d9584>6:9j577=83.95;4>3c9m6<3=9>10e<=3;276g>1d83>!4>>3;8n6`=9482e>=n98n1<7*=97827g=i:0?1=o54i03a>5<#:0<1=>l4n3;6>4e<3`;:m7>5$3;5>45e3g8297?k;:k25<<72-82:7?4;51g98m470290/>48512`8j7?22;:07d?>6;29 7?1289i7c<65;02?>o69<0;6)<66;30f>h51<09>65f10694?"51?0:?o5a287966=6?:4;h326?6=,;3=6<=m;o0:1?4232c:8=4?:%0:2?74j2d9584=6:9j56`=83.95;4>3c9m6<3=:>10e<=j:18'6<0=9:h0b?7::3:8?l74l3:1(?79:01a?k4>=38276g>3b83>!4>>3;8n6`=9481e>=n9:81<7*=97827g=i:0?1>o54i004>5<#:0<1=>l4n3;6>7e<3`;:o7>5$3;5>45e3g829750;&1=3<6;k1e>4;52g98k42a290/>4851428j7?22910c<:j:18'6<0=9<:0b?7::098k42c290/>4851428j7?22;10c<:l:18'6<0=9<:0b?7::298m4e6290/>4851b08j7?22910e4851b08j7?22;10e4851b08j7?22=10ehk50;&1=34=h51<0976gjb;29 7?12ll0b?7::298m`g=83.95;4jf:l1=0<332cn57>5$3;5>``6854id:94?"51?0nj6`=9485?>ob?3:1(?79:dd8j7?22>10ek950;&1=3<=h51<0j76gi4;29 7?12ll0b?7::c98mc5=83.95;4jf:l1=07>5$3;5>``6i54ig394?"51?0nj6`=948f?>oa83:1(?79:dd8j7?22o10eh850;&1=30:9ja0<72-82:7ki;o0:1?7632co;7>5$3;5>a>6=54ie494?"51?0o46`=9482?>oc=3:1(?79:e:8j7?22;10ei:50;&1=31=h51<0>76gk1;29 7?12m20b?7::798ma6=83.95;4k8:l1=0<032cn<7>5$3;5>a>6554ied94?"51?0o46`=948:?>ocm3:1(?79:e:8j7?22h10eij50;&1=3f=h51<0o76gka;29 7?12m20b?7::d98ma?=83.95;4k8:l1=05$3;5>a>6<>4;haf>5<#:0<1h55a287954=6=54o023>5<#:0<1==<4n3;6>4=65`fd83>!4>>3;;>6`=9480?>ial3:1(?79:021?k4>=3>07bhl:18'6<0=9980b?7::498kcd=83.95;4>039m6<3=>21djl4?:%0:2?77:2d95848;:m24d<72-82:7??2:l1=04?:%0:2?77:2d9584i;:me=?6=,;3=6<>=;o0:1?7732em47>5$3;5>4653g8297?>;:k2<<<72-82:7?7a:l1=0<732c:454?:%0:2?7?i2d9584>;:k2<2<72-82:7?7a:l1=0<532c:4;4?:%0:2?7?i2d9584<;:k2<0<72-82:7?7a:l1=0<332c:4>4?:%0:2?7?i2d9584:;:k2<7<72-82:7?7a:l1=0<132c:4<4?:%0:2?7?i2d95848;:k2<5<72-82:7?7a:l1=04;51098m4>b290/>48519c8j7?228807d?7d;29 7?1282j7c<65;30?>o60j0;6)<66;3;e>h51<0:865f19`94?"51?0:4l5a287950=6<84;h34=?6=,;3=6<6n;o0:1?7032c:;54?:%0:2?7?i2d9584>8:9l673=83.95;4=279m6<3=821d>?:50;&1=3<5:?1e>4;51:9l675=83.95;4=279m6<3=:21d>?<50;&1=3<5:?1e>4;53:9l677=83.95;4=279m6<3=<21d>?>50;&1=3<5:?1e>4;55:9l64`=83.95;4=279m6<3=>21d>4;57:9l64e=83.95;4=279m6<3=021d>4;59:9l64g=83.95;4=279m6<3=i21d><750;&1=3<5:?1e>4;5b:9l64>=83.95;4=279m6<3=k21d><950;&1=3<5:?1e>4;5d:9l640=83.95;4=279m6<3=m21d><;50;&1=3<5:?1e>4;5f:9l642=83.95;4=279m6<3=9910c??<:18'6<0=:;<0b?7::038?j4693:1(?79:305?k4>=3;976a=1183>!4>>389:6`=94827>=h:9l1<7*=978163=i:0?1=954o32f>5<#:0<1>?84n3;6>43<3f8;h7>5$3;5>7413g8297?9;:m14f<72-82:7<=6:l1=0<6?21d>=l50;&1=3<5:?1e>4;51998k76f290/>4852348j7?228307bi5810;6)<66;012>h51<0:n65`21494?"51?09>;5a28795f=6=4+284967064?:%0:2?45>2d9584>f:9l654=83.95;4=279m6<3=:910c?>>:18'6<0=:;<0b?7::338?j4783:1(?79:305?k4>=38976a>fg83>!4>>389:6`=94817>=h9oo1<7*=978163=i:0?1>954o0dg>5<#:0<1>?84n3;6>73<3f89n7>5$3;5>7413g8297<9;:m16d<72-82:7<=6:l1=0<5?21d>?750;&1=3<5:?1e>4;52998k74?290/>4852348j7?22;307b<=7;29 7?12;8=7c<65;0b?>i59m0;6)<66;012>h51<09n65`20094?"51?09>;5a28796f=6?j4;n3eg?6=,;3=6?<9;o0:1?4b32e:jo4?:%0:2?45>2d9584=f:9j34<72-82:79=;o0:1?6<3`=;6=4+284937=i:0?1=65f6g83>!4>>3=97c<65;08?l0b290/>485739m6<3=;21b:i4?:%0:2?153g8297:4;h4`>5<#:0<1;?5a28791>=n>k0;6)<66;51?k4>=3<07d8n:18'6<0=?;1e>4;57:9j2<<72-82:79=;o0:1?><3`<36=4+284937=i:0?1565f6783>!4>>3=97c<65;c8?l02290/>485739m6<3=j21b:94?:%0:2?153g8297m4;h40>5<#:0<1;?5a2879`>=n>;0;6)<66;51?k4>=3o07d8>:18'6<0=?;1e>4;5f:9j25<72-82:79=;o0:1?7732c>j7>5$3;5>2465<#:0<1;?5a287957=h51<0:?65f7883>!4>>3=97c<65;37?>o003:1(?79:608j7?228?07d98:18'6<0=?;1e>4;51798m20=83.95;482:l1=0<6?21b;84?:%0:2?153g8297?7;:k40?6=,;3=6:<4n3;6>4?<3`=86=4+284937=i:0?1=l54i7594?"51?0<>6`=9482f>=n=j0;6)<66;51?k4>=3;h76g:b;29 7?12>80b?7::0f8?j4?k3:1(?79:3:g?k4>=3:07b<7b;29 7?12;2o7c<65;38?j4?i3:1(?79:3:g?k4>=3807b<79;29 7?12;2o7c<65;18?j4?03:1(?79:3:g?k4>=3>07b<77;29 7?12;2o7c<65;78?j4?>3:1(?79:3:g?k4>=3<07b<75;29 7?12;2o7c<65;58?j4?;3:1(?79:3:g?k4>=3207b<72;29 7?12;2o7c<65;;8?j4?93:1(?79:3:g?k4>=3k07b<70;29 7?12;2o7c<65;`8?j40n3:1(?79:3:g?k4>=3i07b<8e;29 7?12;2o7c<65;f8?j40l3:1(?79:3:g?k4>=3o07b<8c;29 7?12;2o7c<65;d8?j40j3:1(?79:3:g?k4>=3;;76a=7`83>!4>>383h6`=94825>=h:>21<7*=97815<#:0<1>5j4n3;6>45<3f8<:7>5$3;5>7>c3g8297?;;:m130<72-82:7<7d:l1=0<6=21d>::50;&1=3<50m1e>4;51798k714290/>48529f8j7?228=07b<82;29 7?12;2o7c<65;3;?>i5?80;6)<66;0;`>h51<0:565`26294?"51?094i5a28795d=6d:9l63d=83.95;4=8e9m6<3=9l10c?8n:18'6<0=:1n0b?7::0d8?j4113:1(?79:3:g?k4>=38;76a=6983>!4>>383h6`=94815>=h:?=1<7*=9781?54o345>5<#:0<1>5j4n3;6>75<3f8=97>5$3;5>7>c3g8297<;;:m121<72-82:7<7d:l1=0<5=21d>4<50;&1=3<50m1e>4;52798k7?6290/>48529f8j7?22;=07b<60;29 7?12;2o7c<65;0;?>i50o0;6)<66;0;`>h51<09565`29g94?"51?094i5a28796d=6?l4;n04=?6=,;3=6?6k;o0:1?4d32e9:h4?:%0:2?4?l2d9584=d:9l635=83.95;4=8e9m6<3=:l10c?8=:18'6<0=:1n0b?7::3d8?l?d290/>4859e9m6<3=821b5o4?:%0:2??c3g8297?4;h;b>5<#:0<15i5a28796>=n100;6)<66;;g?k4>=3907d77:18'6<0=1m1e>4;54:9j=2<72-82:77k;o0:1?3<3`3=6=4+2849=a=i:0?1:65f9483>!4>>33o7c<65;58?l?3290/>4859e9m6<3=021b5>4?:%0:2??c3g829774;h;2>5<#:0<15i5a2879e>=n190;6)<66;;g?k4>=3h07d6i:18'6<0=1m1e>4;5c:9j<`<72-82:77k;o0:1?b<3`2o6=4+2849=a=i:0?1i65f8b83>!4>>33o7c<65;d8?l>e290/>4859e9m6<3=9910e5o50;&1=3<>l2d9584>1:9j<<<72-82:77k;o0:1?7532c347>5$3;5>6<=4;hc7>5<#:0<15i5a287951=h51<0:965fa383>!4>>33o7c<65;35?>of93:1(?79:8f8j7?228=07do?:18'6<0=1m1e>4;51998m<`=83.95;46d:l1=0<6121b5h4?:%0:2??c3g8297?n;:k:6?6=,;3=64j4n3;6>4d<3`2<6=4+2849=a=i:0?1=n54i9494?"51?02h6`=9482`>=n9=31<7*=97820d=i:0?1<65f15:94?"51?0:8l5a28795>=n9==1<7*=97820d=i:0?1>65rb`0`>5<6i80;6=u+2c195<><@;hn7Ej53b87e?272;?1=h44b=:=0847?l:3397d<5;3w/>o851`78jf2=82dhn7>4n9794>h68j0;7ck;:19m520=82d:h<4?;o0:=6=5a1g:94>hdi3:0b<:m:19m5f5=82.9n:4>d39'ga<61?1/==k51848j4?0291/>l7523g8 4ea2:>0(?l7:0g`?!45m380(?l6:0ge?!ed28oo7)i5:o0;66g=a083>>o6=>0;66g>5483>>i6l=0;66g>5883>>o5i<0;66g>d283>>o6=10;66g=a383>>o6=o0;66a>4583>>o51m0;66g>5`83>>i5j=0;66a=3183>>o51o0;66g=9d83>>o6=j0;66g=a283>>o6=?0;66g>5583>>o6>80;66g>5283>>o5i90;66a>f883>>o6=k0;66g>5d83>>o6<<0;66g>4283>>o5i=0;66g>5e83>>o5i?0;66amb;29 7?12ki0b?7::198kgg=83.95;4mc:l1=0<632ei57>5$3;5>ge6?54oc:94?"51?0io6`=9480?>ie?3:1(?79:ca8j7?22=10co850;&1=33=1<7*=978ag>h51<0<76am3;29 7?12ki0b?7::998kg4=83.95;4mc:l1=0<>32ei<7>5$3;5>ge6l54o`d94?"51?0io6`=948a?>ifm3:1(?79:ca8j7?22j10clj50;&1=3`=h51<0m76ana;29 7?12ki0b?7::028?jg>290/>485bb9m6<3=9810cl650;&1=32:9le2<72-82:7ll;o0:1?7432eh?7>5$3;5>ge6<:4;na1>5<#:0<1nn5a287950=h51<0::65`c183>!4>>3hh7c<65;34?>ien3:1(?79:ca8j7?228207blj:18'6<0=jj1e>4;51898kgb=83.95;4mc:l1=0<6i21dn<4?:%0:2?dd3g8297?m;:mb2?6=,;3=6om4n3;6>4e<3fk>6=4+2849ff=i:0?1=i54i0d5>5<#:0<1=k94n3;6>5=6=4+28495c16<54i0d7>5<#:0<1=k94n3;6>7=6>54i0d1>5<#:0<1=k94n3;6>1=6854i0d3>5<#:0<1=k94n3;6>3=6=54i37b>5<#:0<1>8m4n3;6>4=6?54i37;>5<#:0<1>8m4n3;6>6=6954i375>5<#:0<1>8m4n3;6>0=6=4+284960e6;54i377>5<#:0<1>8m4n3;6>2=6554i372>5<#:0<1>8m4n3;6><=6l54i36e>5<#:0<1>8m4n3;6>g=n6=4+284960e6n54i36g>5<#:0<1>8m4n3;6>a=h6=4+284960e6h54i36a>5<#:0<1>8m4n3;6>c=j6=4+284960e6<>4;h07=?6=,;3=6?;l;o0:1?7632c98:4?:%0:2?42k2d9584>2:9j610=83.95;4=5b9m6<3=9:10e?:::18'6<0=:=3;>76g=4283>!4>>38>o6`=94822>=n:=81<7*=97811f=i:0?1=:54i362>5<#:0<1>8m4n3;6>4><3`8?<7>5$3;5>73d3g8297?6;:k17c<72-82:7<:c:l1=0<6i21b>>k50;&1=3<5=j1e>4;51c98m75d290/>48524a8j7?228i07d<o5;h0;6)<66;06g>h51<0:i65f22;94?"51?099n5a28795c=6?>4;h003?6=,;3=6?;l;o0:1?4632c9?;4?:%0:2?42k2d9584=2:9j663=83.95;4=5b9m6<3=::10e?=;:18'6<0=:=38>76g=6083>!4>>38>o6`=94812>=n:?:1<7*=97811f=i:0?1>:54i37e>5<#:0<1>8m4n3;6>7><3`8>i7>5$3;5>73d3g8297<6;:k11a<72-82:7<:c:l1=0<5i21b>8=50;&1=3<5=j1e>4;52c98m72?290/>48524a8j7?22;i07d<o5;;0;6)<66;06g>h51<09i65f22394?"51?099n5a28796c=h51<0;76gl7;29 7?12j30b?7::098mf0=83.95;4l9:l1=0<532ch97>5$3;5>f?6>54i01b>5<#:0<1=>l4n3;6>5=6<54i01;>5<#:0<1=>l4n3;6>7=6>54i015>5<#:0<1=>l4n3;6>1=6=4+284956d6854i017>5<#:0<1=>l4n3;6>3=6:54i012>5<#:0<1=>l4n3;6>==6454i00e>5<#:0<1=>l4n3;6>d=6o54i00g>5<#:0<1=>l4n3;6>f=6i54i00a>5<#:0<1=>l4n3;6>`=6k54i00:>5<#:0<1=>l4n3;6>46<3`;947>5$3;5>45e3g8297?>;:k263<72-82:7?4;51298m443290/>48512`8j7?228>07d?=3;29 7?1289i7c<65;36?>o6:;0;6)<66;30f>h51<0::65f13394?"51?0:?o5a287952=6<64;h32b?6=,;3=6<=m;o0:1?7>32c:=h4?:%0:2?74j2d9584>a:9j54b=83.95;4>3c9m6<3=9k10e=3;o76g>1883>!4>>3;8n6`=9482a>=n9821<7*=97827g=i:0?1=k54i034>5<#:0<1=>l4n3;6>76<3`;::7>5$3;5>45e3g8297<>;:k250<72-82:7?4;52298m474290/>48512`8j7?22;>07d?>2;29 7?1289i7c<65;06?>o6<90;6)<66;30f>h51<09:65f12d94?"51?0:?o5a287962=6?64;h30`?6=,;3=6<=m;o0:1?4>32c:?n4?:%0:2?74j2d9584=a:9j564=83.95;4>3c9m6<3=:k10e<<8:18'6<0=9:h0b?7::3a8?l76k3:1(?79:01a?k4>=38o76g>1083>!4>>3;8n6`=9481a>=n98:1<7*=97827g=i:0?1>k54o06e>5<#:0<1=8>4n3;6>5=n6=4+28495066<54o06g>5<#:0<1=8>4n3;6>7=h6=4+28495066>54i0a2>5<#:0<1=n<4n3;6>5=6<54i0`e>5<#:0<1=n<4n3;6>7=6>54i0`g>5<#:0<1=n<4n3;6>1=h51<0;76gjd;29 7?12ll0b?7::098m`e=83.95;4jf:l1=0<532cnn7>5$3;5>``6>54idc94?"51?0nj6`=9487?>ob13:1(?79:dd8j7?22<10eh650;&1=32=h51<0376gi6;29 7?12ll0b?7::898mc3=83.95;4jf:l1=05$3;5>``6o54ig194?"51?0nj6`=948`?>oa:3:1(?79:dd8j7?22m10ek?50;&1=3c=h51<0:<65fe483>!4>>3om7c<65;32?>oc?3:1(?79:e:8j7?22910ei850;&1=3;:kg1?6=,;3=6i64n3;6>7=1<7*=978g<>h51<0876gk3;29 7?12m20b?7::598ma4=83.95;4k8:l1=0<232co=7>5$3;5>a>6;54ie294?"51?0o46`=9484?>ob83:1(?79:e:8j7?22110eih50;&1=3d=h51<0i76gkc;29 7?12m20b?7::b98mad=83.95;4k8:l1=05$3;5>a>6h54ie;94?"51?0o46`=948e?>odn3:1(?79:e:8j7?228:07dmj:18'6<0=l11e>4;51098k466290/>4851108j7?22910c<>?:18'6<0=9980b?7::098kc`=83.95;4>039m6<3=:21djh4?:%0:2?77:2d9584<;:me`?6=,;3=6<>=;o0:1?2<3flh6=4+28495546854og`94?"51?0:=hnh0;6)<66;336>h51<0<76a>0`83>!4>>3;;>6`=948;?>i6800;6)<66;336>h51<0276a>0983>!4>>3;;>6`=948b?>i68>0;6)<66;336>h51<0i76a>0783>!4>>3;;>6`=948`?>i68<0;6)<66;336>h51<0o76a>0583>!4>>3;;>6`=948f?>i68:0;6)<66;336>h51<0m76ai9;29 7?128:97c<65;33?>ia03:1(?79:021?k4>=3;:76g>8883>!4>>3;3m6`=9483?>o6010;6)<66;3;e>h51<0:76g>8683>!4>>3;3m6`=9481?>o60?0;6)<66;3;e>h51<0876g>8483>!4>>3;3m6`=9487?>o60:0;6)<66;3;e>h51<0>76g>8383>!4>>3;3m6`=9485?>o6080;6)<66;3;e>h51<0<76g>8183>!4>>3;3m6`=948;?>o6?o0;6)<66;3;e>h51<0276g>7d83>!4>>3;3m6`=948b?>o6?m0;6)<66;3;e>h51<0i76g>7b83>!4>>3;3m6`=948`?>o6?k0;6)<66;3;e>h51<0o76g>7`83>!4>>3;3m6`=948f?>o6180;6)<66;3;e>h51<0m76g>9183>!4>>3;3m6`=94824>=n91l1<7*=97825<#:0<1=5o4n3;6>44<3`;3h7>5$3;5>4>f3g8297?<;:k24;51498m4>3290/>48519c8j7?228<07d?89;29 7?1282j7c<65;34?>o6?10;6)<66;3;e>h51<0:465`23794?"51?09>;5a28794>=h:;>1<7*=978163=i:0?1=65`23194?"51?09>;5a28796>=h:;81<7*=978163=i:0?1?65`23394?"51?09>;5a28790>=h:;:1<7*=978163=i:0?1965`20d94?"51?09>;5a28792>=h:8o1<7*=978163=i:0?1;65`20a94?"51?09>;5a2879<>=h:8h1<7*=978163=i:0?1565`20c94?"51?09>;5a2879e>=h:831<7*=978163=i:0?1n65`20:94?"51?09>;5a2879g>=h:8=1<7*=978163=i:0?1h65`20494?"51?09>;5a2879a>=h:8?1<7*=978163=i:0?1j65`20694?"51?09>;5a287955=62d9584>3:9l65`=83.95;4=279m6<3=9=10c?>j:18'6<0=:;<0b?7::078?j47l3:1(?79:305?k4>=3;=76a=0b83>!4>>389:6`=94823>=h:9h1<7*=978163=i:0?1=554o32b>5<#:0<1>?84n3;6>4?<3f8;57>5$3;5>7413g8297?n;:m14=<72-82:7<=6:l1=0<6j21d>=850;&1=3<5:?1e>4;51b98k762290/>4852348j7?228n07bi58:0;6)<66;012>h51<0:j65`21094?"51?09>;5a287965=6??4;n034?6=,;3=6?<9;o0:1?4532e:jk4?:%0:2?45>2d9584=3:9l5cc=83.95;4=279m6<3=:=10c=38=76a=2`83>!4>>389:6`=94813>=h:;31<7*=978163=i:0?1>554o30;>5<#:0<1>?84n3;6>7?<3f89;7>5$3;5>7413g8297<<50;&1=3<5:?1e>4;52b98k760290/>4852348j7?22;n07b?ic;29 7?12;8=7c<65;0f?>i6nk0;6)<66;012>h51<09j65f7083>!4>>3=97c<65;28?l17290/>485739m6<3=921b:k4?:%0:2?153g8297<4;h4f>5<#:0<1;?5a28797>=n>m0;6)<66;51?k4>=3>07d8l:18'6<0=?;1e>4;55:9j2g<72-82:79=;o0:1?0<3`!4>>3=97c<65;:8?l0?290/>485739m6<3=121b:;4?:%0:2?153g8297o4;h46>5<#:0<1;?5a2879f>=n>=0;6)<66;51?k4>=3i07d8<:18'6<0=?;1e>4;5d:9j27<72-82:79=;o0:1?c<3`<:6=4+284937=i:0?1j65f6183>!4>>3=97c<65;33?>o2n3:1(?79:608j7?228;07d;j:18'6<0=?;1e>4;51398m0b=83.95;482:l1=0<6;21b;44?:%0:2?153g8297?;;:k443<3`=<6=4+284937=i:0?1=;54i6494?"51?0<>6`=94823>=n?<0;6)<66;51?k4>=3;376g84;29 7?12>80b?7::0;8?l14290/>485739m6<3=9h10e;950;&1=3<0:2d9584>b:9j1f<72-82:79=;o0:1?7d32c>n7>5$3;5>2465$3;5>7>c3g8297?4;n0;e?6=,;3=6?6k;o0:1?4<3f8357>5$3;5>7>c3g8297=4;n0;5$3;5>7>c3g8297;4;n0;2?6=,;3=6?6k;o0:1?0<3f8397>5$3;5>7>c3g829794;n0;7?6=,;3=6?6k;o0:1?><3f83>7>5$3;5>7>c3g829774;n0;5?6=,;3=6?6k;o0:1?g<3f83<7>5$3;5>7>c3g8297l4;n04b?6=,;3=6?6k;o0:1?e<3f85$3;5>7>c3g8297j4;n04`?6=,;3=6?6k;o0:1?c<3f85$3;5>7>c3g8297h4;n04f?6=,;3=6?6k;o0:1?7732e9;l4?:%0:2?4?l2d9584>1:9l62>=83.95;4=8e9m6<3=9;10c?98:18'6<0=:1n0b?7::018?j40>3:1(?79:3:g?k4>=3;?76a=7483>!4>>383h6`=94821>=h:>>1<7*=97815<#:0<1>5j4n3;6>41<3f8<>7>5$3;5>7>c3g8297?7;:m134<72-82:7<7d:l1=0<6121d>:>50;&1=3<50m1e>4;51`98k70a290/>48529f8j7?228h07b<9d;29 7?12;2o7c<65;3`?>i5>j0;6)<66;0;`>h51<0:h65`27`94?"51?094i5a28795`=6=38?76a=6583>!4>>383h6`=94811>=h:081<7*=9781;54o3;2>5<#:0<1>5j4n3;6>71<3f82<7>5$3;5>7>c3g8297<7;:m15k50;&1=3<50m1e>4;52`98k7>3290/>48529f8j7?22;h07b<89;29 7?12;2o7c<65;0`?>i5>l0;6)<66;0;`>h51<09h65`27194?"51?094i5a28796`=6?h4;h;`>5<#:0<15i5a28794>=n1k0;6)<66;;g?k4>=3;07d7n:18'6<0=1m1e>4;52:9j=<<72-82:77k;o0:1?5<3`336=4+2849=a=i:0?1865f9683>!4>>33o7c<65;78?l?1290/>4859e9m6<3=>21b584?:%0:2??c3g829794;h;7>5<#:0<15i5a2879<>=n1:0;6)<66;;g?k4>=3307d7>:18'6<0=1m1e>4;5a:9j=5<72-82:77k;o0:1?d<3`2m6=4+2849=a=i:0?1o65f8d83>!4>>33o7c<65;f8?l>c290/>4859e9m6<3=m21b4n4?:%0:2??c3g8297h4;h:a>5<#:0<15i5a287955=h51<0:=65f8883>!4>>33o7c<65;31?>o?03:1(?79:8f8j7?228907do;:18'6<0=1m1e>4;51598md5=83.95;46d:l1=0<6=21bm?4?:%0:2??c3g8297?9;:kb5?6=,;3=64j4n3;6>41<3`k;6=4+2849=a=i:0?1=554i8d94?"51?02h6`=9482=>=n1l0;6)<66;;g?k4>=3;j76g62;29 7?120n0b?7::0`8?l>0290/>4859e9m6<3=9j10e5850;&1=3<>l2d9584>d:9j51?=83.95;4>4`9m6<3=821b=9650;&1=3<64;51:9j511=83.95;4>4`9m6<3=:21vn4jk:182e4<729q/>o=518:8L7db3A8i96T>0e824~542:n1?n4;a;63>73=9l08n7=6:0f961<403;h6??53`817?{#:k<1=l;4nb694>hdj3:0b5;50:l24f<73go?6=5a16494>h6l80;7c<68;28j421291e=k650:l`e?6i6=5a1b194>"5j>0:h?5+ce8214=#99o1=484n0;4>5=#:h31>?k4$0ae>62<,;h364cc3-8j47?lf:m16c<722c9m<4?::k212<722c:984?::m2`1<722c:944?::k1e0<722c:h>4?::k21=<722c9m?4?::k21c<722e:894?::k1=a<722c:9l4?::m1f1<722e9?=4?::k1=c<722c95h4?::k21f<722c9m>4?::k213<722c:994?::k224<722c:9>4?::k1e5<722e:j44?::k21g<722c:9h4?::k200<722c:8>4?::k1e1<722c:9i4?::k1e3<722ein7>5$3;5>ge6=54occ94?"51?0io6`=9482?>ie13:1(?79:ca8j7?22;10co650;&1=31=h51<0>76am5;29 7?12ki0b?7::798kg2=83.95;4mc:l1=0<032ei?7>5$3;5>ge6554oc094?"51?0io6`=948:?>ie83:1(?79:ca8j7?22h10clh50;&1=3f=h51<0o76anc;29 7?12ki0b?7::d98kdd=83.95;4mc:l1=05$3;5>ge6<>4;nc:>5<#:0<1nn5a287954=h51<0:>65`a683>!4>>3hh7c<65;30?>id;3:1(?79:ca8j7?228>07bm=:18'6<0=jj1e>4;51498kf7=83.95;4mc:l1=0<6>21do=4?:%0:2?dd3g8297?8;:mab?6=,;3=6om4n3;6>4><3fhn6=4+2849ff=i:0?1=454ocf94?"51?0io6`=9482e>=hj80;6)<66;``?k4>=3;i76an6;29 7?12ki0b?7::0a8?jg2290/>485bb9m6<3=9m10e4851g58j7?22810e4851g58j7?22:10e4851g58j7?22<10e48524a8j7?22910e?;n:18'6<0=:290/>48524a8j7?22;10e?;7:18'6<0=:48524a8j7?22=10e?;9:18'6<0=:48524a8j7?22?10e?;;:18'6<0=:48524a8j7?22110e?;>:18'6<0=:48524a8j7?22h10e?:i:18'6<0=:48524a8j7?22j10e?:k:18'6<0=:48524a8j7?22l10e?:m:18'6<0=:48524a8j7?228:07d<;9;29 7?12;?h7c<65;32?>o5<>0;6)<66;06g>h51<0:>65f25494?"51?099n5a287956=>6=4+284960e6<:4;h070?6=,;3=6?;l;o0:1?7232c98>4?:%0:2?42k2d9584>6:9j614=83.95;4=5b9m6<3=9>10e?:>:18'6<0=:=3;276g=3g83>!4>>38>o6`=9482e>=n::o1<7*=97811f=i:0?1=o54i31`>5<#:0<1>8m4n3;6>4e<3`88n7>5$3;5>73d3g8297?k;:k17d<72-82:7<:c:l1=0<6m21b>>750;&1=3<5=j1e>4;51g98m75?290/>48524a8j7?22;:07d<<7;29 7?12;?h7c<65;02?>o5;?0;6)<66;06g>h51<09>65f22794?"51?099n5a287966=6?:4;h007?6=,;3=6?;l;o0:1?4232c9:<4?:%0:2?42k2d9584=6:9j636=83.95;4=5b9m6<3=:>10e?;i:18'6<0=:=38276g=5e83>!4>>38>o6`=9481e>=n:<91<7*=97811f=i:0?1>o54i36;>5<#:0<1>8m4n3;6>7e<3`88h7>5$3;5>73d3g8297>?50;&1=3<5=j1e>4;52g98mf>=83.95;4l9:l1=0<732ch;7>5$3;5>f?6<54ib494?"51?0h56`=9481?>od=3:1(?79:b;8j7?22:10e<=n:18'6<0=9:h0b?7::198m45>290/>48512`8j7?22810e<=7:18'6<0=9:h0b?7::398m450290/>48512`8j7?22:10e<=9:18'6<0=9:h0b?7::598m452290/>48512`8j7?22<10e<=;:18'6<0=9:h0b?7::798m454290/>48512`8j7?22>10e<=>:18'6<0=9:h0b?7::998m457290/>48512`8j7?22010e<48512`8j7?22k10e<48512`8j7?22m10e<48512`8j7?22o10e<<6:18'6<0=9:h0b?7::028?l7503:1(?79:01a?k4>=3;:76g>2783>!4>>3;8n6`=94826>=n9;?1<7*=97827g=i:0?1=>54i007>5<#:0<1=>l4n3;6>42<3`;9?7>5$3;5>45e3g8297?:;:k267<72-82:7?21b=??50;&1=3<6;k1e>4;51698m447290/>48512`8j7?228207d?>f;29 7?1289i7c<65;3:?>o69l0;6)<66;30f>h51<0:m65f10f94?"51?0:?o5a28795g=6e:9j54>=83.95;4>3c9m6<3=9o10e3:1(?79:01a?k4>=38:76g>1483>!4>>3;8n6`=94816>=n98>1<7*=97827g=i:0?1>>54i030>5<#:0<1=>l4n3;6>72<3`;:>7>5$3;5>45e3g8297<:;:k205<72-82:7?21b=>h50;&1=3<6;k1e>4;52698m45b290/>48512`8j7?22;207d?o6;j0;6)<66;30f>h51<09m65f12094?"51?0:?o5a28796g=6?m4;h32g?6=,;3=6<=m;o0:1?4c32c:=<4?:%0:2?74j2d9584=e:9j546=83.95;4>3c9m6<3=:o10c<:i:18'6<0=9<:0b?7::198k42b290/>4851428j7?22810c<:k:18'6<0=9<:0b?7::398k42d290/>4851428j7?22:10e:18'6<0=9j80b?7::198m4e7290/>4851b08j7?22810e4851b08j7?22:10e5$3;5>``6<54ida94?"51?0nj6`=9481?>obj3:1(?79:dd8j7?22:10eho50;&1=30=h51<0=76gj7;29 7?12ll0b?7::698mc1=83.95;4jf:l1=05$3;5>``6454ig794?"51?0nj6`=948b?>oa<3:1(?79:dd8j7?22k10ek=50;&1=3a=h51<0n76gi0;29 7?12ll0b?7::g98m`0=83.95;4jf:l1=0<6821bi84?:%0:2?ca3g8297?>;:kg3?6=,;3=6i64n3;6>5=h51<0:76gk5;29 7?12m20b?7::398ma2=83.95;4k8:l1=0<432co?7>5$3;5>a>6954ie094?"51?0o46`=9486?>oc93:1(?79:e:8j7?22?10ei>50;&1=3==h51<0276gke;29 7?12m20b?7::`98mab=83.95;4k8:l1=05$3;5>a>6n54ie`94?"51?0o46`=948g?>oci3:1(?79:e:8j7?22l10ei750;&1=346<3`in6=4+2849`==i:0?1=<54o022>5<#:0<1==<4n3;6>5=6<54ogd94?"51?0:=hnl0;6)<66;336>h51<0876aid;29 7?128:97c<65;68?j`d290/>4851108j7?22<10ckl50;&1=3<68;1e>4;56:9lbd<72-82:7??2:l1=0<032e:32e:<54?:%0:2?77:2d9584n;:m242<72-82:7??2:l1=05$3;5>4653g8297??;:me=;o0:1?7632c:444?:%0:2?7?i2d9584?;:k2<=<72-82:7?7a:l1=0<632c:4:4?:%0:2?7?i2d9584=;:k2<3<72-82:7?7a:l1=0<432c:484?:%0:2?7?i2d9584;;:k2<6<72-82:7?7a:l1=0<232c:4?4?:%0:2?7?i2d95849;:k2<4<72-82:7?7a:l1=0<032c:4=4?:%0:2?7?i2d95847;:k23c<72-82:7?7a:l1=0<>32c:;h4?:%0:2?7?i2d9584n;:k23a<72-82:7?7a:l1=00:9j5=`=83.95;4>8`9m6<3=9810e<6j:18'6<0=91k0b?7::008?l7?l3:1(?79:0:b?k4>=3;876g>8b83>!4>>3;3m6`=94820>=n91h1<7*=97825<#:0<1=5o4n3;6>40<3`;<57>5$3;5>4>f3g8297?8;:k23=<72-82:7?7a:l1=0<6021d>?;50;&1=3<5:?1e>4;50:9l672=83.95;4=279m6<3=921d>?=50;&1=3<5:?1e>4;52:9l674=83.95;4=279m6<3=;21d>??50;&1=3<5:?1e>4;54:9l676=83.95;4=279m6<3==21d>4;56:9l64c=83.95;4=279m6<3=?21d>4;58:9l64d=83.95;4=279m6<3=121d>4;5a:9l64?=83.95;4=279m6<3=j21d><650;&1=3<5:?1e>4;5c:9l641=83.95;4=279m6<3=l21d><850;&1=3<5:?1e>4;5e:9l643=83.95;4=279m6<3=n21d><:50;&1=3<5:?1e>4;51198k774290/>4852348j7?228;07b<>1;29 7?12;8=7c<65;31?>i5990;6)<66;012>h51<0:?65`21d94?"51?09>;5a287951=6<;4;n03`?6=,;3=6?<9;o0:1?7132e92d9584>7:9l65d=83.95;4=279m6<3=9110c?>n:18'6<0=:;<0b?7::0;8?j4713:1(?79:305?k4>=3;j76a=0983>!4>>389:6`=9482f>=h:9<1<7*=978163=i:0?1=n54o326>5<#:0<1>?84n3;6>4b<3f8;87>5$3;5>7413g8297?j;:m146<72-82:7<=6:l1=0<6n21d>=<50;&1=3<5:?1e>4;52198k766290/>4852348j7?22;;07bi6no0;6)<66;012>h51<09?65`1gg94?"51?09>;5a287961=6?;4;n01f?6=,;3=6?<9;o0:1?4132e9>l4?:%0:2?45>2d9584=7:9l67?=83.95;4=279m6<3=:110c?<7:18'6<0=:;<0b?7::3;8?j45?3:1(?79:305?k4>=38j76a=1e83>!4>>389:6`=9481f>=h:881<7*=978163=i:0?1>n54o324>5<#:0<1>?84n3;6>7b<3f;mo7>5$3;5>7413g82974;h53>5<#:0<1;?5a28795>=n>o0;6)<66;51?k4>=3807d8j:18'6<0=?;1e>4;53:9j2a<72-82:79=;o0:1?2<3`!4>>3=97c<65;48?l0f290/>485739m6<3=?21b:44?:%0:2?153g829764;h4;>5<#:0<1;?5a2879=>=n>?0;6)<66;51?k4>=3k07d8::18'6<0=?;1e>4;5b:9j21<72-82:79=;o0:1?e<3`<86=4+284937=i:0?1h65f6383>!4>>3=97c<65;g8?l06290/>485739m6<3=n21b:=4?:%0:2?153g8297??;:k6b?6=,;3=6:<4n3;6>47<3`?n6=4+284937=i:0?1=?54i4f94?"51?0<>6`=94827>=n?00;6)<66;51?k4>=3;?76g88;29 7?12>80b?7::078?l10290/>485739m6<3=9?10e:850;&1=3<0:2d9584>7:9j30<72-82:79=;o0:1?7?32c<87>5$3;5>246<74;h50>5<#:0<1;?5a28795d=h51<0:n65f5b83>!4>>3=97c<65;3`?>o2j3:1(?79:608j7?228n07b<7c;29 7?12;2o7c<65;28?j4?j3:1(?79:3:g?k4>=3;07b<7a;29 7?12;2o7c<65;08?j4?13:1(?79:3:g?k4>=3907b<78;29 7?12;2o7c<65;68?j4??3:1(?79:3:g?k4>=3?07b<76;29 7?12;2o7c<65;48?j4?=3:1(?79:3:g?k4>=3=07b<73;29 7?12;2o7c<65;:8?j4?:3:1(?79:3:g?k4>=3307b<71;29 7?12;2o7c<65;c8?j4?83:1(?79:3:g?k4>=3h07b<8f;29 7?12;2o7c<65;a8?j40m3:1(?79:3:g?k4>=3n07b<8d;29 7?12;2o7c<65;g8?j40k3:1(?79:3:g?k4>=3l07b<8b;29 7?12;2o7c<65;33?>i5?h0;6)<66;0;`>h51<0:=65`26:94?"51?094i5a287957=6<=4;n042?6=,;3=6?6k;o0:1?7332e9;84?:%0:2?4?l2d9584>5:9l622=83.95;4=8e9m6<3=9?10c?9<:18'6<0=:1n0b?7::058?j40:3:1(?79:3:g?k4>=3;376a=7083>!4>>383h6`=9482=>=h:>:1<7*=97815<#:0<1>5j4n3;6>4d<3f8=h7>5$3;5>7>c3g8297?l;:m12f<72-82:7<7d:l1=0<6l21d>;l50;&1=3<50m1e>4;51d98k70f290/>48529f8j7?228l07b<99;29 7?12;2o7c<65;03?>i5>10;6)<66;0;`>h51<09=65`27594?"51?094i5a287967=6?=4;n051?6=,;3=6?6k;o0:1?4332e9:94?:%0:2?4?l2d9584=5:9l6<4=83.95;4=8e9m6<3=:?10c?7>:18'6<0=:1n0b?7::358?j4>83:1(?79:3:g?k4>=38376a=8g83>!4>>383h6`=9481=>=h:1o1<7*=9781l54o3:7>5<#:0<1>5j4n3;6>7d<3f8<57>5$3;5>7>c3g8297;=50;&1=3<50m1e>4;52d98k705290/>48529f8j7?22;l07d7l:18'6<0=1m1e>4;50:9j=g<72-82:77k;o0:1?7<3`3j6=4+2849=a=i:0?1>65f9883>!4>>33o7c<65;18?l??290/>4859e9m6<3=<21b5:4?:%0:2??c3g8297;4;h;5>5<#:0<15i5a28792>=n1<0;6)<66;;g?k4>=3=07d7;:18'6<0=1m1e>4;58:9j=6<72-82:77k;o0:1??<3`3:6=4+2849=a=i:0?1m65f9183>!4>>33o7c<65;`8?l>a290/>4859e9m6<3=k21b4h4?:%0:2??c3g8297j4;h:g>5<#:0<15i5a2879a>=n0j0;6)<66;;g?k4>=3l07d6m:18'6<0=1m1e>4;51198m=g=83.95;46d:l1=0<6921b444?:%0:2??c3g8297?=;:k;45<3`k?6=4+2849=a=i:0?1=954i`194?"51?02h6`=94821>=ni;0;6)<66;;g?k4>=3;=76gn1;29 7?120n0b?7::058?lg7290/>4859e9m6<3=9110e4h50;&1=3<>l2d9584>9:9j=`<72-82:77k;o0:1?7f32c2>7>5$3;5>65<#:0<15i5a28795f=h51<0:h65f15;94?"51?0:8l5a28794>=n9=21<7*=97820d=i:0?1=65f15594?"51?0:8l5a28796>=zj02n6=4>a083>5}#:k91=464H3`f?M4e=2P:0z10>6b=;j0?m7:?:3795`<4j39260b83?kc3291e=:850:l2`4<73g8247>4n065>5=i9o21<6`la;28j42e291e=n=50:&1f2<6l;1/oi4>979'55c=90<0b<78:19'6d?=:;o0(28om7)ml:0gg?!4f03;hj6a=2g83>>o5i80;66g>5683>>o6=<0;66a>d583>>o6=00;66g=a483>>o6l:0;66g>5983>>o5i;0;66g>5g83>>i6<=0;66g=9e83>>o6=h0;66a=b583>>i5;90;66g=9g83>>o51l0;66g>5b83>>o5i:0;66g>5783>>o6==0;66g>6083>>o6=:0;66g=a183>>i6n00;66g>5c83>>o6=l0;66g>4483>>o6<:0;66g=a583>>o6=m0;66g=a783>>iej3:1(?79:ca8j7?22910coo50;&1=3;:ma=?6=,;3=6om4n3;6>7=h51<0876am7;29 7?12ki0b?7::598kg0=83.95;4mc:l1=0<232ei97>5$3;5>ge6;54oc694?"51?0io6`=9484?>ie;3:1(?79:ca8j7?22110co<50;&1=3d=h51<0i76ane;29 7?12ki0b?7::b98kdb=83.95;4mc:l1=05$3;5>ge6h54o``94?"51?0io6`=948e?>ifi3:1(?79:ca8j7?228:07bo6:18'6<0=jj1e>4;51098kd>=83.95;4mc:l1=0<6:21dm:4?:%0:2?dd3g8297?<;:m`7?6=,;3=6om4n3;6>42<3fi96=4+2849ff=i:0?1=854ob394?"51?0io6`=94822>=hk90;6)<66;``?k4>=3;<76amf;29 7?12ki0b?7::0:8?jdb290/>485bb9m6<3=9010coj50;&1=3a:9lf4<72-82:7ll;o0:1?7e32ej:7>5$3;5>ge65<#:0<1nn5a28795a=6=54i0d6>5<#:0<1=k94n3;6>4=6?54i0d0>5<#:0<1=k94n3;6>6=6954i0d2>5<#:0<1=k94n3;6>0=6;54i37a>5<#:0<1>8m4n3;6>5=6<54i37:>5<#:0<1>8m4n3;6>7=6>54i374>5<#:0<1>8m4n3;6>1=6854i376>5<#:0<1>8m4n3;6>3=6:54i371>5<#:0<1>8m4n3;6>==6454i373>5<#:0<1>8m4n3;6>d=m6=4+284960e6o54i36f>5<#:0<1>8m4n3;6>f=o6=4+284960e6i54i36`>5<#:0<1>8m4n3;6>`=i6=4+284960e6k54i36b>5<#:0<1>8m4n3;6>46<3`8?57>5$3;5>73d3g8297?>;:k102<72-82:7<:c:l1=0<6:21b>9850;&1=3<5=j1e>4;51298m722290/>48524a8j7?228>07d<;4;29 7?12;?h7c<65;36?>o5<:0;6)<66;06g>h51<0::65f25094?"51?099n5a287952=:6=4+284960e6<64;h074?6=,;3=6?;l;o0:1?7>32c9?k4?:%0:2?42k2d9584>a:9j66c=83.95;4=5b9m6<3=9k10e?=l:18'6<0=:=3;o76g=3`83>!4>>38>o6`=9482a>=n::31<7*=97811f=i:0?1=k54i31;>5<#:0<1>8m4n3;6>76<3`88;7>5$3;5>73d3g8297<>;:k173<72-82:7<:c:l1=0<5:21b>>;50;&1=3<5=j1e>4;52298m753290/>48524a8j7?22;>07d<<3;29 7?12;?h7c<65;06?>o5>80;6)<66;06g>h51<09:65f27294?"51?099n5a287962=6?64;h06a?6=,;3=6?;l;o0:1?4>32c99i4?:%0:2?42k2d9584=a:9j605=83.95;4=5b9m6<3=:k10e?:7:18'6<0=:=38o76g=3383>!4>>38>o6`=9481a>=n::;1<7*=97811f=i:0?1>k54ib:94?"51?0h56`=9483?>od?3:1(?79:b;8j7?22810en850;&1=36=6=54i01:>5<#:0<1=>l4n3;6>4=6?54i014>5<#:0<1=>l4n3;6>6=6954i016>5<#:0<1=>l4n3;6>0=6;54i010>5<#:0<1=>l4n3;6>2=6554i013>5<#:0<1=>l4n3;6><=6l54i00f>5<#:0<1=>l4n3;6>g=6n54i00`>5<#:0<1=>l4n3;6>a=6h54i00b>5<#:0<1=>l4n3;6>c=6<>4;h31;4?:%0:2?74j2d9584>2:9j573=83.95;4>3c9m6<3=9:10e<<;:18'6<0=9:h0b?7::068?l75;3:1(?79:01a?k4>=3;>76g>2383>!4>>3;8n6`=94822>=n9;;1<7*=97827g=i:0?1=:54i003>5<#:0<1=>l4n3;6>4><3`;:j7>5$3;5>45e3g8297?6;:k25`<72-82:7?4;51c98m47e290/>48512`8j7?228i07d?>a;29 7?1289i7c<65;3g?>o6900;6)<66;30f>h51<0:i65f10:94?"51?0:?o5a28795c=6?>4;h322?6=,;3=6<=m;o0:1?4632c:=84?:%0:2?74j2d9584=2:9j542=83.95;4>3c9m6<3=::10e=38>76g>4183>!4>>3;8n6`=94812>=n9:l1<7*=97827g=i:0?1>:54i01f>5<#:0<1=>l4n3;6>7><3`;8h7>5$3;5>45e3g8297<6;:k27f<72-82:7?<50;&1=3<6;k1e>4;52c98m440290/>48512`8j7?22;i07d?>c;29 7?1289i7c<65;0g?>o6980;6)<66;30f>h51<09i65f10294?"51?0:?o5a28796c=m6=4+28495066=54o06f>5<#:0<1=8>4n3;6>4=o6=4+28495066?54o06`>5<#:0<1=8>4n3;6>6=6=54i0a3>5<#:0<1=n<4n3;6>4=6?54i0`f>5<#:0<1=n<4n3;6>6=6954idg94?"51?0nj6`=9483?>obl3:1(?79:dd8j7?22810ehm50;&1=36=h51<0?76gj9;29 7?12ll0b?7::498m`>=83.95;4jf:l1=0<132cn;7>5$3;5>``6:54ig594?"51?0nj6`=948;?>oa>3:1(?79:dd8j7?22010ek;50;&1=3g=h51<0h76gi2;29 7?12ll0b?7::e98mc7=83.95;4jf:l1=05$3;5>``6k54id494?"51?0nj6`=94824>=nm<0;6)<66;ge?k4>=3;:76gk7;29 7?12m20b?7::198ma0=83.95;4k8:l1=0<632co97>5$3;5>a>6?54ie694?"51?0o46`=9480?>oc;3:1(?79:e:8j7?22=10ei<50;&1=33=h51<0<76gj0;29 7?12m20b?7::998ma`=83.95;4k8:l1=0<>32coi7>5$3;5>a>6l54ief94?"51?0o46`=948a?>ock3:1(?79:e:8j7?22j10eil50;&1=3`=h51<0m76glf;29 7?12m20b?7::028?leb290/>485d99m6<3=9810c<>>:18'6<0=9980b?7::198k467290/>4851108j7?22810ckh50;&1=3<68;1e>4;52:9lb`<72-82:7??2:l1=0<432emh7>5$3;5>4653g8297:4;nd`>5<#:0<1==<4n3;6>0=!4>>3;;>6`=9484?>i68h0;6)<66;336>h51<0376a>0883>!4>>3;;>6`=948:?>i6810;6)<66;336>h51<0j76a>0683>!4>>3;;>6`=948a?>i68?0;6)<66;336>h51<0h76a>0483>!4>>3;;>6`=948g?>i68=0;6)<66;336>h51<0n76a>0283>!4>>3;;>6`=948e?>ia13:1(?79:021?k4>=3;;76ai8;29 7?128:97c<65;32?>o6000;6)<66;3;e>h51<0;76g>8983>!4>>3;3m6`=9482?>o60>0;6)<66;3;e>h51<0976g>8783>!4>>3;3m6`=9480?>o60<0;6)<66;3;e>h51<0?76g>8283>!4>>3;3m6`=9486?>o60;0;6)<66;3;e>h51<0=76g>8083>!4>>3;3m6`=9484?>o6090;6)<66;3;e>h51<0376g>7g83>!4>>3;3m6`=948:?>o6?l0;6)<66;3;e>h51<0j76g>7e83>!4>>3;3m6`=948a?>o6?j0;6)<66;3;e>h51<0h76g>7c83>!4>>3;3m6`=948g?>o6?h0;6)<66;3;e>h51<0n76g>9083>!4>>3;3m6`=948e?>o6190;6)<66;3;e>h51<0:<65f19d94?"51?0:4l5a287954=6<<4;h3;`?6=,;3=6<6n;o0:1?7432c:4n4?:%0:2?7?i2d9584>4:9j5=d=83.95;4>8`9m6<3=9<10e<6;:18'6<0=91k0b?7::048?l7013:1(?79:0:b?k4>=3;<76g>7983>!4>>3;3m6`=9482<>=h:;?1<7*=978163=i:0?1<65`23694?"51?09>;5a28795>=h:;91<7*=978163=i:0?1>65`23094?"51?09>;5a28797>=h:;;1<7*=978163=i:0?1865`23294?"51?09>;5a28791>=h:8l1<7*=978163=i:0?1:65`20g94?"51?09>;5a28793>=h:8i1<7*=978163=i:0?1465`20`94?"51?09>;5a2879=>=h:8k1<7*=978163=i:0?1m65`20;94?"51?09>;5a2879f>=h:821<7*=978163=i:0?1o65`20594?"51?09>;5a2879`>=h:8<1<7*=978163=i:0?1i65`20794?"51?09>;5a2879b>=h:8>1<7*=978163=i:0?1==54o330>5<#:0<1>?84n3;6>47<3f8:=7>5$3;5>7413g8297?=;:m155<72-82:7<=6:l1=0<6;21d>=h50;&1=3<5:?1e>4;51598k76b290/>4852348j7?228?07bi58j0;6)<66;012>h51<0:;65`21`94?"51?09>;5a28795==6<74;n03=?6=,;3=6?<9;o0:1?7f32e9<54?:%0:2?45>2d9584>b:9l650=83.95;4=279m6<3=9j10c?>::18'6<0=:;<0b?7::0f8?j47<3:1(?79:305?k4>=3;n76a=0283>!4>>389:6`=9482b>=h:981<7*=978163=i:0?1>=54o322>5<#:0<1>?84n3;6>77<3f8;<7>5$3;5>7413g8297<=;:m2bc<72-82:7<=6:l1=0<5;21d=kk50;&1=3<5:?1e>4;52598k4`c290/>4852348j7?22;?07b<=b;29 7?12;8=7c<65;05?>i5:h0;6)<66;012>h51<09;65`23;94?"51?09>;5a28796==6?74;n013?6=,;3=6?<9;o0:1?4f32e9=i4?:%0:2?45>2d9584=b:9l644=83.95;4=279m6<3=:j10c?>8:18'6<0=:;<0b?7::3f8?j7ak3:1(?79:305?k4>=38n76a>fc83>!4>>389:6`=9481b>=n?80;6)<66;51?k4>=3:07d9?:18'6<0=?;1e>4;51:9j2c<72-82:79=;o0:1?4<3`!4>>3=97c<65;68?l0d290/>485739m6<3==21b:o4?:%0:2?153g829784;h4b>5<#:0<1;?5a28793>=n>00;6)<66;51?k4>=3207d87:18'6<0=?;1e>4;59:9j23<72-82:79=;o0:1?g<3`<>6=4+284937=i:0?1n65f6583>!4>>3=97c<65;a8?l04290/>485739m6<3=l21b:?4?:%0:2?153g8297k4;h42>5<#:0<1;?5a2879b>=n>90;6)<66;51?k4>=3;;76g:f;29 7?12>80b?7::038?l3b290/>485739m6<3=9;10e8j50;&1=3<0:2d9584>3:9j3<<72-82:79=;o0:1?7332c<47>5$3;5>246<;4;h54>5<#:0<1;?5a287953=<1<7*=97846>h51<0:;65f7483>!4>>3=97c<65;3;?>o0<3:1(?79:608j7?228307d9<:18'6<0=?;1e>4;51`98m31=83.95;482:l1=0<6j21b9n4?:%0:2?153g8297?l;:k6f?6=,;3=6:<4n3;6>4b<3f83o7>5$3;5>7>c3g8297>4;n0;f?6=,;3=6?6k;o0:1?7<3f83m7>5$3;5>7>c3g8297<4;n0;=?6=,;3=6?6k;o0:1?5<3f8347>5$3;5>7>c3g8297:4;n0;3?6=,;3=6?6k;o0:1?3<3f83:7>5$3;5>7>c3g829784;n0;1?6=,;3=6?6k;o0:1?1<3f83?7>5$3;5>7>c3g829764;n0;6?6=,;3=6?6k;o0:1??<3f83=7>5$3;5>7>c3g8297o4;n0;4?6=,;3=6?6k;o0:1?d<3f85$3;5>7>c3g8297m4;n04a?6=,;3=6?6k;o0:1?b<3f85$3;5>7>c3g8297k4;n04g?6=,;3=6?6k;o0:1?`<3f85$3;5>7>c3g8297??;:m13d<72-82:7<7d:l1=0<6921d>:650;&1=3<50m1e>4;51398k710290/>48529f8j7?228907b<86;29 7?12;2o7c<65;37?>i5?<0;6)<66;0;`>h51<0:965`26694?"51?094i5a287953=6<94;n046?6=,;3=6?6k;o0:1?7?32e9;<4?:%0:2?4?l2d9584>9:9l626=83.95;4=8e9m6<3=9h10c?8i:18'6<0=:1n0b?7::0`8?j41l3:1(?79:3:g?k4>=3;h76a=6b83>!4>>383h6`=9482`>=h:?h1<7*=97815<#:0<1>5j4n3;6>4`<3f8=57>5$3;5>7>c3g8297;950;&1=3<50m1e>4;52398k701290/>48529f8j7?22;907b<95;29 7?12;2o7c<65;07?>i5>=0;6)<66;0;`>h51<09965`28094?"51?094i5a287963=6?94;n0:4?6=,;3=6?6k;o0:1?4?32e94k4?:%0:2?4?l2d9584=9:9l6=c=83.95;4=8e9m6<3=:h10c?6;:18'6<0=:1n0b?7::3`8?j4013:1(?79:3:g?k4>=38h76a=6d83>!4>>383h6`=9481`>=h:?91<7*=9781h54o341>5<#:0<1>5j4n3;6>7`<3`3h6=4+2849=a=i:0?1<65f9c83>!4>>33o7c<65;38?l?f290/>4859e9m6<3=:21b544?:%0:2??c3g8297=4;h;;>5<#:0<15i5a28790>=n1>0;6)<66;;g?k4>=3?07d79:18'6<0=1m1e>4;56:9j=0<72-82:77k;o0:1?1<3`3?6=4+2849=a=i:0?1465f9283>!4>>33o7c<65;;8?l?6290/>4859e9m6<3=i21b5=4?:%0:2??c3g8297l4;h:e>5<#:0<15i5a2879g>=n0l0;6)<66;;g?k4>=3n07d6k:18'6<0=1m1e>4;5e:9j=n000;6)<66;;g?k4>=3;976g78;29 7?120n0b?7::018?lg3290/>4859e9m6<3=9=10el=50;&1=3<>l2d9584>5:9je7<72-82:77k;o0:1?7132cj=7>5$3;5>6<94;hc3>5<#:0<15i5a28795==h51<0:565f9d83>!4>>33o7c<65;3b?>o>:3:1(?79:8f8j7?228h07d68:18'6<0=1m1e>4;51b98m=0=83.95;46d:l1=0<6l21b=9750;&1=3<64;50:9j51>=83.95;4>4`9m6<3=921b=9950;&1=3<64;52:9~f<3c290:m<4?:1y'6g5=9020D?lj;I0a1>\68m0:;6?;51d80f?5>28n1>94<8;3`>77=;h09?7s+2c495d31<6`lb;28j=3=82d:5=i9><1<6`>d083?k4>03:0b<:9:19m5c>=82dhm7>4n06a>5=i9j91<6*=b682`7=#km0:9<5+11g95<0:4$3`;>4cd3-89i7<4$3`:>4ca3-ih6k4?::k1e4<722c:9:4?::k210<722e:h94?::k21<<722c9m84?::k2`6<722c:954?::k1e7<722c:9k4?::m201<722c95i4?::k21d<722e9n94?::m175<722c95k4?::k1=`<722c:9n4?::k1e6<722c:9;4?::k211<722c::<4?::k216<722c9m=4?::m2b<<722c:9o4?::k21`<722c:884?::k206<722c9m94?::k21a<722c9m;4?::maf?6=,;3=6om4n3;6>5=h51<0:76am9;29 7?12ki0b?7::398kg>=83.95;4mc:l1=0<432ei;7>5$3;5>ge6954oc494?"51?0io6`=9486?>ie=3:1(?79:ca8j7?22?10co:50;&1=3==h51<0276am0;29 7?12ki0b?7::`98kd`=83.95;4mc:l1=05$3;5>ge6n54o`f94?"51?0io6`=948g?>ifk3:1(?79:ca8j7?22l10cll50;&1=346<3fk26=4+2849ff=i:0?1=<54o`:94?"51?0io6`=94826>=hi>0;6)<66;``?k4>=3;876al3;29 7?12ki0b?7::068?je5290/>485bb9m6<3=9<10cn?50;&1=36:9lg5<72-82:7ll;o0:1?7032eij7>5$3;5>ge6<64;n`f>5<#:0<1nn5a28795<=h51<0:m65`b083>!4>>3hh7c<65;3a?>if>3:1(?79:ca8j7?228i07bo::18'6<0=jj1e>4;51e98m4`1290/>4851g58j7?22910e4851g58j7?22;10e4851g58j7?22=10e:18'6<0=9o=0b?7::498m4`7290/>4851g58j7?22?10e?;m:18'6<0=:48524a8j7?22810e?;6:18'6<0=:48524a8j7?22:10e?;8:18'6<0=:48524a8j7?22<10e?;::18'6<0=:48524a8j7?22>10e?;=:18'6<0=:48524a8j7?22010e?;?:18'6<0=:48524a8j7?22k10e?:j:18'6<0=:48524a8j7?22m10e?:l:18'6<0=:48524a8j7?22o10e?:n:18'6<0=:=3;:76g=4683>!4>>38>o6`=94826>=n:=<1<7*=97811f=i:0?1=>54i366>5<#:0<1>8m4n3;6>42<3`8?87>5$3;5>73d3g8297?:;:k106<72-82:7<:c:l1=0<6>21b>9<50;&1=3<5=j1e>4;51698m726290/>48524a8j7?228207d<;0;29 7?12;?h7c<65;3:?>o5;o0;6)<66;06g>h51<0:m65f22g94?"51?099n5a28795g=6e:9j66?=83.95;4=5b9m6<3=9o10e?=7:18'6<0=:=38:76g=3783>!4>>38>o6`=94816>=n::?1<7*=97811f=i:0?1>>54i317>5<#:0<1>8m4n3;6>72<3`88?7>5$3;5>73d3g8297<:;:k124<72-82:7<:c:l1=0<5>21b>;>50;&1=3<5=j1e>4;52698m73a290/>48524a8j7?22;207d<:e;29 7?12;?h7c<65;0:?>o5=m0;6)<66;06g>h51<09m65f24194?"51?099n5a28796g=36=4+284960e6?m4;h00`?6=,;3=6?;l;o0:1?4c32c9??4?:%0:2?42k2d9584=e:9j667=83.95;4=5b9m6<3=:o10en650;&1=34=h51<0976gl5;29 7?12j30b?7::298m45f290/>48512`8j7?22910e<=6:18'6<0=9:h0b?7::098m45?290/>48512`8j7?22;10e<=8:18'6<0=9:h0b?7::298m451290/>48512`8j7?22=10e<=::18'6<0=9:h0b?7::498m453290/>48512`8j7?22?10e<=<:18'6<0=9:h0b?7::698m456290/>48512`8j7?22110e<=?:18'6<0=9:h0b?7::898m44a290/>48512`8j7?22h10e<48512`8j7?22j10e<48512`8j7?22l10e<290/>48512`8j7?228:07d?=8;29 7?1289i7c<65;32?>o6:?0;6)<66;30f>h51<0:>65f13794?"51?0:?o5a287956=6<:4;h317?6=,;3=6<=m;o0:1?7232c:>?4?:%0:2?74j2d9584>6:9j577=83.95;4>3c9m6<3=9>10e<=3;276g>1d83>!4>>3;8n6`=9482e>=n98n1<7*=97827g=i:0?1=o54i03a>5<#:0<1=>l4n3;6>4e<3`;:m7>5$3;5>45e3g8297?k;:k25<<72-82:7?4;51g98m470290/>48512`8j7?22;:07d?>6;29 7?1289i7c<65;02?>o69<0;6)<66;30f>h51<09>65f10694?"51?0:?o5a287966=6?:4;h326?6=,;3=6<=m;o0:1?4232c:8=4?:%0:2?74j2d9584=6:9j56`=83.95;4>3c9m6<3=:>10e<=j:18'6<0=9:h0b?7::3:8?l74l3:1(?79:01a?k4>=38276g>3b83>!4>>3;8n6`=9481e>=n9:81<7*=97827g=i:0?1>o54i004>5<#:0<1=>l4n3;6>7e<3`;:o7>5$3;5>45e3g829750;&1=3<6;k1e>4;52g98k42a290/>4851428j7?22910c<:j:18'6<0=9<:0b?7::098k42c290/>4851428j7?22;10c<:l:18'6<0=9<:0b?7::298m4e6290/>4851b08j7?22910e4851b08j7?22;10e4851b08j7?22=10ehk50;&1=34=h51<0976gjb;29 7?12ll0b?7::298m`g=83.95;4jf:l1=0<332cn57>5$3;5>``6854id:94?"51?0nj6`=9485?>ob?3:1(?79:dd8j7?22>10ek950;&1=3<=h51<0j76gi4;29 7?12ll0b?7::c98mc5=83.95;4jf:l1=07>5$3;5>``6i54ig394?"51?0nj6`=948f?>oa83:1(?79:dd8j7?22o10eh850;&1=30:9ja0<72-82:7ki;o0:1?7632co;7>5$3;5>a>6=54ie494?"51?0o46`=9482?>oc=3:1(?79:e:8j7?22;10ei:50;&1=31=h51<0>76gk1;29 7?12m20b?7::798ma6=83.95;4k8:l1=0<032cn<7>5$3;5>a>6554ied94?"51?0o46`=948:?>ocm3:1(?79:e:8j7?22h10eij50;&1=3f=h51<0o76gka;29 7?12m20b?7::d98ma?=83.95;4k8:l1=05$3;5>a>6<>4;haf>5<#:0<1h55a287954=6=54o023>5<#:0<1==<4n3;6>4=65`fd83>!4>>3;;>6`=9480?>ial3:1(?79:021?k4>=3>07bhl:18'6<0=9980b?7::498kcd=83.95;4>039m6<3=>21djl4?:%0:2?77:2d95848;:m24d<72-82:7??2:l1=04?:%0:2?77:2d9584i;:me=?6=,;3=6<>=;o0:1?7732em47>5$3;5>4653g8297?>;:k2<<<72-82:7?7a:l1=0<732c:454?:%0:2?7?i2d9584>;:k2<2<72-82:7?7a:l1=0<532c:4;4?:%0:2?7?i2d9584<;:k2<0<72-82:7?7a:l1=0<332c:4>4?:%0:2?7?i2d9584:;:k2<7<72-82:7?7a:l1=0<132c:4<4?:%0:2?7?i2d95848;:k2<5<72-82:7?7a:l1=04;51098m4>b290/>48519c8j7?228807d?7d;29 7?1282j7c<65;30?>o60j0;6)<66;3;e>h51<0:865f19`94?"51?0:4l5a287950=6<84;h34=?6=,;3=6<6n;o0:1?7032c:;54?:%0:2?7?i2d9584>8:9l673=83.95;4=279m6<3=821d>?:50;&1=3<5:?1e>4;51:9l675=83.95;4=279m6<3=:21d>?<50;&1=3<5:?1e>4;53:9l677=83.95;4=279m6<3=<21d>?>50;&1=3<5:?1e>4;55:9l64`=83.95;4=279m6<3=>21d>4;57:9l64e=83.95;4=279m6<3=021d>4;59:9l64g=83.95;4=279m6<3=i21d><750;&1=3<5:?1e>4;5b:9l64>=83.95;4=279m6<3=k21d><950;&1=3<5:?1e>4;5d:9l640=83.95;4=279m6<3=m21d><;50;&1=3<5:?1e>4;5f:9l642=83.95;4=279m6<3=9910c??<:18'6<0=:;<0b?7::038?j4693:1(?79:305?k4>=3;976a=1183>!4>>389:6`=94827>=h:9l1<7*=978163=i:0?1=954o32f>5<#:0<1>?84n3;6>43<3f8;h7>5$3;5>7413g8297?9;:m14f<72-82:7<=6:l1=0<6?21d>=l50;&1=3<5:?1e>4;51998k76f290/>4852348j7?228307bi5810;6)<66;012>h51<0:n65`21494?"51?09>;5a28795f=6=4+284967064?:%0:2?45>2d9584>f:9l654=83.95;4=279m6<3=:910c?>>:18'6<0=:;<0b?7::338?j4783:1(?79:305?k4>=38976a>fg83>!4>>389:6`=94817>=h9oo1<7*=978163=i:0?1>954o0dg>5<#:0<1>?84n3;6>73<3f89n7>5$3;5>7413g8297<9;:m16d<72-82:7<=6:l1=0<5?21d>?750;&1=3<5:?1e>4;52998k74?290/>4852348j7?22;307b<=7;29 7?12;8=7c<65;0b?>i59m0;6)<66;012>h51<09n65`20094?"51?09>;5a28796f=6?j4;n3eg?6=,;3=6?<9;o0:1?4b32e:jo4?:%0:2?45>2d9584=f:9j34<72-82:79=;o0:1?6<3`=;6=4+284937=i:0?1=65f6g83>!4>>3=97c<65;08?l0b290/>485739m6<3=;21b:i4?:%0:2?153g8297:4;h4`>5<#:0<1;?5a28791>=n>k0;6)<66;51?k4>=3<07d8n:18'6<0=?;1e>4;57:9j2<<72-82:79=;o0:1?><3`<36=4+284937=i:0?1565f6783>!4>>3=97c<65;c8?l02290/>485739m6<3=j21b:94?:%0:2?153g8297m4;h40>5<#:0<1;?5a2879`>=n>;0;6)<66;51?k4>=3o07d8>:18'6<0=?;1e>4;5f:9j25<72-82:79=;o0:1?7732c>j7>5$3;5>2465<#:0<1;?5a287957=h51<0:?65f7883>!4>>3=97c<65;37?>o003:1(?79:608j7?228?07d98:18'6<0=?;1e>4;51798m20=83.95;482:l1=0<6?21b;84?:%0:2?153g8297?7;:k40?6=,;3=6:<4n3;6>4?<3`=86=4+284937=i:0?1=l54i7594?"51?0<>6`=9482f>=n=j0;6)<66;51?k4>=3;h76g:b;29 7?12>80b?7::0f8?j4?k3:1(?79:3:g?k4>=3:07b<7b;29 7?12;2o7c<65;38?j4?i3:1(?79:3:g?k4>=3807b<79;29 7?12;2o7c<65;18?j4?03:1(?79:3:g?k4>=3>07b<77;29 7?12;2o7c<65;78?j4?>3:1(?79:3:g?k4>=3<07b<75;29 7?12;2o7c<65;58?j4?;3:1(?79:3:g?k4>=3207b<72;29 7?12;2o7c<65;;8?j4?93:1(?79:3:g?k4>=3k07b<70;29 7?12;2o7c<65;`8?j40n3:1(?79:3:g?k4>=3i07b<8e;29 7?12;2o7c<65;f8?j40l3:1(?79:3:g?k4>=3o07b<8c;29 7?12;2o7c<65;d8?j40j3:1(?79:3:g?k4>=3;;76a=7`83>!4>>383h6`=94825>=h:>21<7*=97815<#:0<1>5j4n3;6>45<3f8<:7>5$3;5>7>c3g8297?;;:m130<72-82:7<7d:l1=0<6=21d>::50;&1=3<50m1e>4;51798k714290/>48529f8j7?228=07b<82;29 7?12;2o7c<65;3;?>i5?80;6)<66;0;`>h51<0:565`26294?"51?094i5a28795d=6d:9l63d=83.95;4=8e9m6<3=9l10c?8n:18'6<0=:1n0b?7::0d8?j4113:1(?79:3:g?k4>=38;76a=6983>!4>>383h6`=94815>=h:?=1<7*=9781?54o345>5<#:0<1>5j4n3;6>75<3f8=97>5$3;5>7>c3g8297<;;:m121<72-82:7<7d:l1=0<5=21d>4<50;&1=3<50m1e>4;52798k7?6290/>48529f8j7?22;=07b<60;29 7?12;2o7c<65;0;?>i50o0;6)<66;0;`>h51<09565`29g94?"51?094i5a28796d=6?l4;n04=?6=,;3=6?6k;o0:1?4d32e9:h4?:%0:2?4?l2d9584=d:9l635=83.95;4=8e9m6<3=:l10c?8=:18'6<0=:1n0b?7::3d8?l?d290/>4859e9m6<3=821b5o4?:%0:2??c3g8297?4;h;b>5<#:0<15i5a28796>=n100;6)<66;;g?k4>=3907d77:18'6<0=1m1e>4;54:9j=2<72-82:77k;o0:1?3<3`3=6=4+2849=a=i:0?1:65f9483>!4>>33o7c<65;58?l?3290/>4859e9m6<3=021b5>4?:%0:2??c3g829774;h;2>5<#:0<15i5a2879e>=n190;6)<66;;g?k4>=3h07d6i:18'6<0=1m1e>4;5c:9j<`<72-82:77k;o0:1?b<3`2o6=4+2849=a=i:0?1i65f8b83>!4>>33o7c<65;d8?l>e290/>4859e9m6<3=9910e5o50;&1=3<>l2d9584>1:9j<<<72-82:77k;o0:1?7532c347>5$3;5>6<=4;hc7>5<#:0<15i5a287951=h51<0:965fa383>!4>>33o7c<65;35?>of93:1(?79:8f8j7?228=07do?:18'6<0=1m1e>4;51998m<`=83.95;46d:l1=0<6121b5h4?:%0:2??c3g8297?n;:k:6?6=,;3=64j4n3;6>4d<3`2<6=4+2849=a=i:0?1=n54i9494?"51?02h6`=9482`>=n9=31<7*=97820d=i:0?1<65f15:94?"51?0:8l5a28795>=n9==1<7*=97820d=i:0?1>65rb87`>5<6i80;6=u+2c195<><@;hn7Ej53b87e?272;?1=h44b=:=0847?l:3397d<5;3w/>o851`78jf2=82dhn7>4n9794>h68j0;7ck;:19m520=82d:h<4?;o0:=6=5a1g:94>hdi3:0b<:m:19m5f5=82.9n:4>d39'ga<61?1/==k51848j4?0291/>l7523g8 4ea2:>0(?l7:0g`?!45m380(?l6:0ge?!ed28oo7)i5:o0;66g=a083>>o6=>0;66g>5483>>i6l=0;66g>5883>>o5i<0;66g>d283>>o6=10;66g=a383>>o6=o0;66a>4583>>o51m0;66g>5`83>>i5j=0;66a=3183>>o51o0;66g=9d83>>o6=j0;66g=a283>>o6=?0;66g>5583>>o6>80;66g>5283>>o5i90;66a>f883>>o6=k0;66g>5d83>>o6<<0;66g>4283>>o5i=0;66g>5e83>>o5i?0;66amb;29 7?12ki0b?7::198kgg=83.95;4mc:l1=0<632ei57>5$3;5>ge6?54oc:94?"51?0io6`=9480?>ie?3:1(?79:ca8j7?22=10co850;&1=33=1<7*=978ag>h51<0<76am3;29 7?12ki0b?7::998kg4=83.95;4mc:l1=0<>32ei<7>5$3;5>ge6l54o`d94?"51?0io6`=948a?>ifm3:1(?79:ca8j7?22j10clj50;&1=3`=h51<0m76ana;29 7?12ki0b?7::028?jg>290/>485bb9m6<3=9810cl650;&1=32:9le2<72-82:7ll;o0:1?7432eh?7>5$3;5>ge6<:4;na1>5<#:0<1nn5a287950=h51<0::65`c183>!4>>3hh7c<65;34?>ien3:1(?79:ca8j7?228207blj:18'6<0=jj1e>4;51898kgb=83.95;4mc:l1=0<6i21dn<4?:%0:2?dd3g8297?m;:mb2?6=,;3=6om4n3;6>4e<3fk>6=4+2849ff=i:0?1=i54i0d5>5<#:0<1=k94n3;6>5=6=4+28495c16<54i0d7>5<#:0<1=k94n3;6>7=6>54i0d1>5<#:0<1=k94n3;6>1=6854i0d3>5<#:0<1=k94n3;6>3=6=54i37b>5<#:0<1>8m4n3;6>4=6?54i37;>5<#:0<1>8m4n3;6>6=6954i375>5<#:0<1>8m4n3;6>0=6=4+284960e6;54i377>5<#:0<1>8m4n3;6>2=6554i372>5<#:0<1>8m4n3;6><=6l54i36e>5<#:0<1>8m4n3;6>g=n6=4+284960e6n54i36g>5<#:0<1>8m4n3;6>a=h6=4+284960e6h54i36a>5<#:0<1>8m4n3;6>c=j6=4+284960e6<>4;h07=?6=,;3=6?;l;o0:1?7632c98:4?:%0:2?42k2d9584>2:9j610=83.95;4=5b9m6<3=9:10e?:::18'6<0=:=3;>76g=4283>!4>>38>o6`=94822>=n:=81<7*=97811f=i:0?1=:54i362>5<#:0<1>8m4n3;6>4><3`8?<7>5$3;5>73d3g8297?6;:k17c<72-82:7<:c:l1=0<6i21b>>k50;&1=3<5=j1e>4;51c98m75d290/>48524a8j7?228i07d<o5;h0;6)<66;06g>h51<0:i65f22;94?"51?099n5a28795c=6?>4;h003?6=,;3=6?;l;o0:1?4632c9?;4?:%0:2?42k2d9584=2:9j663=83.95;4=5b9m6<3=::10e?=;:18'6<0=:=38>76g=6083>!4>>38>o6`=94812>=n:?:1<7*=97811f=i:0?1>:54i37e>5<#:0<1>8m4n3;6>7><3`8>i7>5$3;5>73d3g8297<6;:k11a<72-82:7<:c:l1=0<5i21b>8=50;&1=3<5=j1e>4;52c98m72?290/>48524a8j7?22;i07d<o5;;0;6)<66;06g>h51<09i65f22394?"51?099n5a28796c=h51<0;76gl7;29 7?12j30b?7::098mf0=83.95;4l9:l1=0<532ch97>5$3;5>f?6>54i01b>5<#:0<1=>l4n3;6>5=6<54i01;>5<#:0<1=>l4n3;6>7=6>54i015>5<#:0<1=>l4n3;6>1=6=4+284956d6854i017>5<#:0<1=>l4n3;6>3=6:54i012>5<#:0<1=>l4n3;6>==6454i00e>5<#:0<1=>l4n3;6>d=6o54i00g>5<#:0<1=>l4n3;6>f=6i54i00a>5<#:0<1=>l4n3;6>`=6k54i00:>5<#:0<1=>l4n3;6>46<3`;947>5$3;5>45e3g8297?>;:k263<72-82:7?4;51298m443290/>48512`8j7?228>07d?=3;29 7?1289i7c<65;36?>o6:;0;6)<66;30f>h51<0::65f13394?"51?0:?o5a287952=6<64;h32b?6=,;3=6<=m;o0:1?7>32c:=h4?:%0:2?74j2d9584>a:9j54b=83.95;4>3c9m6<3=9k10e=3;o76g>1883>!4>>3;8n6`=9482a>=n9821<7*=97827g=i:0?1=k54i034>5<#:0<1=>l4n3;6>76<3`;::7>5$3;5>45e3g8297<>;:k250<72-82:7?4;52298m474290/>48512`8j7?22;>07d?>2;29 7?1289i7c<65;06?>o6<90;6)<66;30f>h51<09:65f12d94?"51?0:?o5a287962=6?64;h30`?6=,;3=6<=m;o0:1?4>32c:?n4?:%0:2?74j2d9584=a:9j564=83.95;4>3c9m6<3=:k10e<<8:18'6<0=9:h0b?7::3a8?l76k3:1(?79:01a?k4>=38o76g>1083>!4>>3;8n6`=9481a>=n98:1<7*=97827g=i:0?1>k54o06e>5<#:0<1=8>4n3;6>5=n6=4+28495066<54o06g>5<#:0<1=8>4n3;6>7=h6=4+28495066>54i0a2>5<#:0<1=n<4n3;6>5=6<54i0`e>5<#:0<1=n<4n3;6>7=6>54i0`g>5<#:0<1=n<4n3;6>1=h51<0;76gjd;29 7?12ll0b?7::098m`e=83.95;4jf:l1=0<532cnn7>5$3;5>``6>54idc94?"51?0nj6`=9487?>ob13:1(?79:dd8j7?22<10eh650;&1=32=h51<0376gi6;29 7?12ll0b?7::898mc3=83.95;4jf:l1=05$3;5>``6o54ig194?"51?0nj6`=948`?>oa:3:1(?79:dd8j7?22m10ek?50;&1=3c=h51<0:<65fe483>!4>>3om7c<65;32?>oc?3:1(?79:e:8j7?22910ei850;&1=3;:kg1?6=,;3=6i64n3;6>7=1<7*=978g<>h51<0876gk3;29 7?12m20b?7::598ma4=83.95;4k8:l1=0<232co=7>5$3;5>a>6;54ie294?"51?0o46`=9484?>ob83:1(?79:e:8j7?22110eih50;&1=3d=h51<0i76gkc;29 7?12m20b?7::b98mad=83.95;4k8:l1=05$3;5>a>6h54ie;94?"51?0o46`=948e?>odn3:1(?79:e:8j7?228:07dmj:18'6<0=l11e>4;51098k466290/>4851108j7?22910c<>?:18'6<0=9980b?7::098kc`=83.95;4>039m6<3=:21djh4?:%0:2?77:2d9584<;:me`?6=,;3=6<>=;o0:1?2<3flh6=4+28495546854og`94?"51?0:=hnh0;6)<66;336>h51<0<76a>0`83>!4>>3;;>6`=948;?>i6800;6)<66;336>h51<0276a>0983>!4>>3;;>6`=948b?>i68>0;6)<66;336>h51<0i76a>0783>!4>>3;;>6`=948`?>i68<0;6)<66;336>h51<0o76a>0583>!4>>3;;>6`=948f?>i68:0;6)<66;336>h51<0m76ai9;29 7?128:97c<65;33?>ia03:1(?79:021?k4>=3;:76g>8883>!4>>3;3m6`=9483?>o6010;6)<66;3;e>h51<0:76g>8683>!4>>3;3m6`=9481?>o60?0;6)<66;3;e>h51<0876g>8483>!4>>3;3m6`=9487?>o60:0;6)<66;3;e>h51<0>76g>8383>!4>>3;3m6`=9485?>o6080;6)<66;3;e>h51<0<76g>8183>!4>>3;3m6`=948;?>o6?o0;6)<66;3;e>h51<0276g>7d83>!4>>3;3m6`=948b?>o6?m0;6)<66;3;e>h51<0i76g>7b83>!4>>3;3m6`=948`?>o6?k0;6)<66;3;e>h51<0o76g>7`83>!4>>3;3m6`=948f?>o6180;6)<66;3;e>h51<0m76g>9183>!4>>3;3m6`=94824>=n91l1<7*=97825<#:0<1=5o4n3;6>44<3`;3h7>5$3;5>4>f3g8297?<;:k24;51498m4>3290/>48519c8j7?228<07d?89;29 7?1282j7c<65;34?>o6?10;6)<66;3;e>h51<0:465`23794?"51?09>;5a28794>=h:;>1<7*=978163=i:0?1=65`23194?"51?09>;5a28796>=h:;81<7*=978163=i:0?1?65`23394?"51?09>;5a28790>=h:;:1<7*=978163=i:0?1965`20d94?"51?09>;5a28792>=h:8o1<7*=978163=i:0?1;65`20a94?"51?09>;5a2879<>=h:8h1<7*=978163=i:0?1565`20c94?"51?09>;5a2879e>=h:831<7*=978163=i:0?1n65`20:94?"51?09>;5a2879g>=h:8=1<7*=978163=i:0?1h65`20494?"51?09>;5a2879a>=h:8?1<7*=978163=i:0?1j65`20694?"51?09>;5a287955=62d9584>3:9l65`=83.95;4=279m6<3=9=10c?>j:18'6<0=:;<0b?7::078?j47l3:1(?79:305?k4>=3;=76a=0b83>!4>>389:6`=94823>=h:9h1<7*=978163=i:0?1=554o32b>5<#:0<1>?84n3;6>4?<3f8;57>5$3;5>7413g8297?n;:m14=<72-82:7<=6:l1=0<6j21d>=850;&1=3<5:?1e>4;51b98k762290/>4852348j7?228n07bi58:0;6)<66;012>h51<0:j65`21094?"51?09>;5a287965=6??4;n034?6=,;3=6?<9;o0:1?4532e:jk4?:%0:2?45>2d9584=3:9l5cc=83.95;4=279m6<3=:=10c=38=76a=2`83>!4>>389:6`=94813>=h:;31<7*=978163=i:0?1>554o30;>5<#:0<1>?84n3;6>7?<3f89;7>5$3;5>7413g8297<<50;&1=3<5:?1e>4;52b98k760290/>4852348j7?22;n07b?ic;29 7?12;8=7c<65;0f?>i6nk0;6)<66;012>h51<09j65f7083>!4>>3=97c<65;28?l17290/>485739m6<3=921b:k4?:%0:2?153g8297<4;h4f>5<#:0<1;?5a28797>=n>m0;6)<66;51?k4>=3>07d8l:18'6<0=?;1e>4;55:9j2g<72-82:79=;o0:1?0<3`!4>>3=97c<65;:8?l0?290/>485739m6<3=121b:;4?:%0:2?153g8297o4;h46>5<#:0<1;?5a2879f>=n>=0;6)<66;51?k4>=3i07d8<:18'6<0=?;1e>4;5d:9j27<72-82:79=;o0:1?c<3`<:6=4+284937=i:0?1j65f6183>!4>>3=97c<65;33?>o2n3:1(?79:608j7?228;07d;j:18'6<0=?;1e>4;51398m0b=83.95;482:l1=0<6;21b;44?:%0:2?153g8297?;;:k443<3`=<6=4+284937=i:0?1=;54i6494?"51?0<>6`=94823>=n?<0;6)<66;51?k4>=3;376g84;29 7?12>80b?7::0;8?l14290/>485739m6<3=9h10e;950;&1=3<0:2d9584>b:9j1f<72-82:79=;o0:1?7d32c>n7>5$3;5>2465$3;5>7>c3g8297?4;n0;e?6=,;3=6?6k;o0:1?4<3f8357>5$3;5>7>c3g8297=4;n0;5$3;5>7>c3g8297;4;n0;2?6=,;3=6?6k;o0:1?0<3f8397>5$3;5>7>c3g829794;n0;7?6=,;3=6?6k;o0:1?><3f83>7>5$3;5>7>c3g829774;n0;5?6=,;3=6?6k;o0:1?g<3f83<7>5$3;5>7>c3g8297l4;n04b?6=,;3=6?6k;o0:1?e<3f85$3;5>7>c3g8297j4;n04`?6=,;3=6?6k;o0:1?c<3f85$3;5>7>c3g8297h4;n04f?6=,;3=6?6k;o0:1?7732e9;l4?:%0:2?4?l2d9584>1:9l62>=83.95;4=8e9m6<3=9;10c?98:18'6<0=:1n0b?7::018?j40>3:1(?79:3:g?k4>=3;?76a=7483>!4>>383h6`=94821>=h:>>1<7*=97815<#:0<1>5j4n3;6>41<3f8<>7>5$3;5>7>c3g8297?7;:m134<72-82:7<7d:l1=0<6121d>:>50;&1=3<50m1e>4;51`98k70a290/>48529f8j7?228h07b<9d;29 7?12;2o7c<65;3`?>i5>j0;6)<66;0;`>h51<0:h65`27`94?"51?094i5a28795`=6=38?76a=6583>!4>>383h6`=94811>=h:081<7*=9781;54o3;2>5<#:0<1>5j4n3;6>71<3f82<7>5$3;5>7>c3g8297<7;:m15k50;&1=3<50m1e>4;52`98k7>3290/>48529f8j7?22;h07b<89;29 7?12;2o7c<65;0`?>i5>l0;6)<66;0;`>h51<09h65`27194?"51?094i5a28796`=6?h4;h;`>5<#:0<15i5a28794>=n1k0;6)<66;;g?k4>=3;07d7n:18'6<0=1m1e>4;52:9j=<<72-82:77k;o0:1?5<3`336=4+2849=a=i:0?1865f9683>!4>>33o7c<65;78?l?1290/>4859e9m6<3=>21b584?:%0:2??c3g829794;h;7>5<#:0<15i5a2879<>=n1:0;6)<66;;g?k4>=3307d7>:18'6<0=1m1e>4;5a:9j=5<72-82:77k;o0:1?d<3`2m6=4+2849=a=i:0?1o65f8d83>!4>>33o7c<65;f8?l>c290/>4859e9m6<3=m21b4n4?:%0:2??c3g8297h4;h:a>5<#:0<15i5a287955=h51<0:=65f8883>!4>>33o7c<65;31?>o?03:1(?79:8f8j7?228907do;:18'6<0=1m1e>4;51598md5=83.95;46d:l1=0<6=21bm?4?:%0:2??c3g8297?9;:kb5?6=,;3=64j4n3;6>41<3`k;6=4+2849=a=i:0?1=554i8d94?"51?02h6`=9482=>=n1l0;6)<66;;g?k4>=3;j76g62;29 7?120n0b?7::0`8?l>0290/>4859e9m6<3=9j10e5850;&1=3<>l2d9584>d:9j51?=83.95;4>4`9m6<3=821b=9650;&1=3<64;51:9j511=83.95;4>4`9m6<3=:21vn?m54`874?4228o1?o4<9;3g>72=;10:o7<>:2c9665=ikk0;7c6::19m55e=82dn87>4n055>5=i9m;1<6`=9983?k73>3:0b4n0a0>5=#:k=1=i<4$bf9507<,8:n6<79;o3:3?6<,;k26?cg9l67`=831b>l?50;9j501=831b=8;50;9l5a2=831b=8750;9j6d3=831b=i=50;9j50>=831b>l<50;9j50`=831d=9:50;9j6>>50;9j6<`=831b>4k50;9j50e=831b>l=50;9j500=831b=8:50;9j537=831b=8=50;9j6d6=831d=k750;9j50d=831b=8k50;9j513=831b=9=50;9j6d2=831b=8j50;9j6d0=831dno4?:%0:2?dd3g8297>4;n`b>5<#:0<1nn5a28795>=hj00;6)<66;``?k4>=3807bl7:18'6<0=jj1e>4;53:9lf2<72-82:7ll;o0:1?2<3fh=6=4+2849ff=i:0?1965`b483>!4>>3hh7c<65;48?jd3290/>485bb9m6<3=?21dn>4?:%0:2?dd3g829764;n`1>5<#:0<1nn5a2879=>=hj90;6)<66;``?k4>=3k07boi:18'6<0=jj1e>4;5b:9le`<72-82:7ll;o0:1?e<3fko6=4+2849ff=i:0?1h65`ab83>!4>>3hh7c<65;g8?jge290/>485bb9m6<3=n21dml4?:%0:2?dd3g8297??;:mb=?6=,;3=6om4n3;6>47<3fk36=4+2849ff=i:0?1=?54o`594?"51?0io6`=94827>=hk:0;6)<66;``?k4>=3;?76al2;29 7?12ki0b?7::078?je6290/>485bb9m6<3=9?10cn>50;&1=37:9lfc<72-82:7ll;o0:1?7?32eii7>5$3;5>ge6<74;n`g>5<#:0<1nn5a28795d=h51<0:n65`a783>!4>>3hh7c<65;3`?>if=3:1(?79:ca8j7?228n07d?i6;29 7?128l<7c<65;28?l7a=3:1(?79:0d4?k4>=3;07d?i4;29 7?128l<7c<65;08?l7a;3:1(?79:0d4?k4>=3907d?i2;29 7?128l<7c<65;68?l7a93:1(?79:0d4?k4>=3?07d?i0;29 7?128l<7c<65;48?l42j3:1(?79:37`?k4>=3:07d<:a;29 7?12;?h7c<65;38?l4213:1(?79:37`?k4>=3807d<:8;29 7?12;?h7c<65;18?l42?3:1(?79:37`?k4>=3>07d<:6;29 7?12;?h7c<65;78?l42=3:1(?79:37`?k4>=3<07d<:4;29 7?12;?h7c<65;58?l42:3:1(?79:37`?k4>=3207d<:1;29 7?12;?h7c<65;;8?l4283:1(?79:37`?k4>=3k07d<;f;29 7?12;?h7c<65;`8?l43m3:1(?79:37`?k4>=3i07d<;d;29 7?12;?h7c<65;f8?l43k3:1(?79:37`?k4>=3o07d<;b;29 7?12;?h7c<65;d8?l43i3:1(?79:37`?k4>=3;;76g=4883>!4>>38>o6`=94825>=n:==1<7*=97811f=i:0?1=?54i365>5<#:0<1>8m4n3;6>45<3`8?97>5$3;5>73d3g8297?;;:k101<72-82:7<:c:l1=0<6=21b>9=50;&1=3<5=j1e>4;51798m725290/>48524a8j7?228=07d<;1;29 7?12;?h7c<65;3;?>o5<90;6)<66;06g>h51<0:565f22d94?"51?099n5a28795d=6d:9j66g=83.95;4=5b9m6<3=9l10e?=6:18'6<0=:=38;76g=3683>!4>>38>o6`=94815>=n::<1<7*=97811f=i:0?1>?54i316>5<#:0<1>8m4n3;6>75<3`8887>5$3;5>73d3g8297<;;:k176<72-82:7<:c:l1=0<5=21b>;?50;&1=3<5=j1e>4;52798m707290/>48524a8j7?22;=07d<:f;29 7?12;?h7c<65;0;?>o5=l0;6)<66;06g>h51<09565f24f94?"51?099n5a28796d=6?l4;h07:18'6<0=:485c89m6<3=821bo:4?:%0:2?e>3g8297?4;ha5>5<#:0<1o45a28796>=nk<0;6)<66;a:?k4>=3907d?=3;07d?<8;29 7?1289i7c<65;08?l74?3:1(?79:01a?k4>=3907d?<6;29 7?1289i7c<65;68?l74=3:1(?79:01a?k4>=3?07d?<4;29 7?1289i7c<65;48?l74;3:1(?79:01a?k4>=3=07d?<1;29 7?1289i7c<65;:8?l7483:1(?79:01a?k4>=3307d?=f;29 7?1289i7c<65;c8?l75m3:1(?79:01a?k4>=3h07d?=d;29 7?1289i7c<65;a8?l75k3:1(?79:01a?k4>=3n07d?=b;29 7?1289i7c<65;g8?l75i3:1(?79:01a?k4>=3l07d?=9;29 7?1289i7c<65;33?>o6:10;6)<66;30f>h51<0:=65f13494?"51?0:?o5a287957=6=4+284956d6<=4;h310?6=,;3=6<=m;o0:1?7332c:>>4?:%0:2?74j2d9584>5:9j574=83.95;4>3c9m6<3=9?10e<<>:18'6<0=9:h0b?7::058?l7583:1(?79:01a?k4>=3;376g>1g83>!4>>3;8n6`=9482=>=n98o1<7*=97827g=i:0?1=l54i03g>5<#:0<1=>l4n3;6>4d<3`;:n7>5$3;5>45e3g8297?l;:k25d<72-82:7?4;51d98m47?290/>48512`8j7?228l07d?>7;29 7?1289i7c<65;03?>o69?0;6)<66;30f>h51<09=65f10794?"51?0:?o5a287967=6?=4;h327?6=,;3=6<=m;o0:1?4332c:=?4?:%0:2?74j2d9584=5:9j516=83.95;4>3c9m6<3=:?10e<=i:18'6<0=9:h0b?7::358?l74m3:1(?79:01a?k4>=38376g>3e83>!4>>3;8n6`=9481=>=n9:i1<7*=97827g=i:0?1>l54i011>5<#:0<1=>l4n3;6>7d<3`;9;7>5$3;5>45e3g82974;52d98m477290/>48512`8j7?22;l07b?;f;29 7?128?;7c<65;28?j73m3:1(?79:073?k4>=3;07b?;d;29 7?128?;7c<65;08?j73k3:1(?79:073?k4>=3907d?l1;29 7?128i97c<65;28?l7d83:1(?79:0a1?k4>=3;07d?mf;29 7?128i97c<65;08?l7em3:1(?79:0a1?k4>=3907d?md;29 7?128i97c<65;68?lcb290/>485eg9m6<3=821bii4?:%0:2?ca3g8297?4;hg`>5<#:0<1ik5a28796>=nmk0;6)<66;ge?k4>=3907dkn:18'6<0=mo1e>4;54:9ja<<72-82:7ki;o0:1?3<3`o36=4+2849ac=i:0?1:65fe683>!4>>3om7c<65;58?l`0290/>485eg9m6<3=021bj;4?:%0:2?ca3g829774;hd6>5<#:0<1ik5a2879e>=nn=0;6)<66;ge?k4>=3h07dh<:18'6<0=mo1e>4;5c:9jb7<72-82:7ki;o0:1?b<3`l:6=4+2849ac=i:0?1i65ff183>!4>>3om7c<65;d8?lc1290/>485eg9m6<3=9910eh;50;&1=31:9j`2<72-82:7j7;o0:1?6<3`n=6=4+2849`==i:0?1=65fd483>!4>>3n37c<65;08?lb3290/>485d99m6<3=;21bh>4?:%0:2?b?3g8297:4;hf1>5<#:0<1h55a28791>=nl80;6)<66;f;?k4>=3<07dj?:18'6<0=l11e>4;57:9ja5<72-82:7j7;o0:1?><3`nm6=4+2849`==i:0?1565fdd83>!4>>3n37c<65;c8?lbc290/>485d99m6<3=j21bhn4?:%0:2?b?3g8297m4;hfa>5<#:0<1h55a2879`>=nlh0;6)<66;f;?k4>=3o07dj6:18'6<0=l11e>4;5f:9jgc<72-82:7j7;o0:1?7732chi7>5$3;5>a>6=;o0:1?6<3f;;<7>5$3;5>4653g8297?4;nde>5<#:0<1==<4n3;6>7=!4>>3;;>6`=9487?>iak3:1(?79:021?k4>=3?07bhm:18'6<0=9980b?7::798kcg=83.95;4>039m6<3=?21d==o50;&1=3<68;1e>4;58:9l55?=83.95;4>039m6<3=121d==650;&1=3<68;1e>4;5a:9l551=83.95;4>039m6<3=j21d==850;&1=3<68;1e>4;5c:9l553=83.95;4>039m6<3=l21d==:50;&1=3<68;1e>4;5e:9l555=83.95;4>039m6<3=n21dj44?:%0:2?77:2d9584>0:9lb=<72-82:7??2:l1=0<6921b=5750;&1=3<60h1e>4;50:9j5=>=83.95;4>8`9m6<3=921b=5950;&1=3<60h1e>4;52:9j5=0=83.95;4>8`9m6<3=;21b=5;50;&1=3<60h1e>4;54:9j5=5=83.95;4>8`9m6<3==21b=5<50;&1=3<60h1e>4;56:9j5=7=83.95;4>8`9m6<3=?21b=5>50;&1=3<60h1e>4;58:9j52`=83.95;4>8`9m6<3=121b=:k50;&1=3<60h1e>4;5a:9j52b=83.95;4>8`9m6<3=j21b=:m50;&1=3<60h1e>4;5c:9j52d=83.95;4>8`9m6<3=l21b=:o50;&1=3<60h1e>4;5e:9j5<7=83.95;4>8`9m6<3=n21b=4>50;&1=3<60h1e>4;51198m4>a290/>48519c8j7?228;07d?7e;29 7?1282j7c<65;31?>o60m0;6)<66;3;e>h51<0:?65f19a94?"51?0:4l5a287951=6<;4;h3;0?6=,;3=6<6n;o0:1?7132c:;44?:%0:2?7?i2d9584>7:9j52>=83.95;4>8`9m6<3=9110c?<::18'6<0=:;<0b?7::198k743290/>4852348j7?22810c?<<:18'6<0=:;<0b?7::398k745290/>4852348j7?22:10c?<>:18'6<0=:;<0b?7::598k747290/>4852348j7?22<10c??i:18'6<0=:;<0b?7::798k77b290/>4852348j7?22>10c??l:18'6<0=:;<0b?7::998k77e290/>4852348j7?22010c??n:18'6<0=:;<0b?7::`98k77>290/>4852348j7?22k10c??7:18'6<0=:;<0b?7::b98k770290/>4852348j7?22m10c??9:18'6<0=:;<0b?7::d98k772290/>4852348j7?22o10c??;:18'6<0=:;<0b?7::028?j46;3:1(?79:305?k4>=3;:76a=1083>!4>>389:6`=94826>=h:8:1<7*=978163=i:0?1=>54o32e>5<#:0<1>?84n3;6>42<3f8;i7>5$3;5>7413g8297?:;:m14a<72-82:7<=6:l1=0<6>21d>=m50;&1=3<5:?1e>4;51698k76e290/>4852348j7?228207bi5800;6)<66;012>h51<0:m65`21:94?"51?09>;5a28795g=62d9584>e:9l655=83.95;4=279m6<3=9o10c?>=:18'6<0=:;<0b?7::328?j4793:1(?79:305?k4>=38:76a=0183>!4>>389:6`=94816>=h9ol1<7*=978163=i:0?1>>54o0df>5<#:0<1>?84n3;6>72<3f;mh7>5$3;5>7413g8297<:;:m16g<72-82:7<=6:l1=0<5>21d>?o50;&1=3<5:?1e>4;52698k74>290/>4852348j7?22;207b<=8;29 7?12;8=7c<65;0:?>i5:>0;6)<66;012>h51<09m65`20f94?"51?09>;5a28796g=6?m4;n033?6=,;3=6?<9;o0:1?4c32e:jn4?:%0:2?45>2d9584=e:9l5cd=83.95;4=279m6<3=:o10e:?50;&1=3<0:2d9584?;:k44?6=,;3=6:<4n3;6>4=h51<0976g9e;29 7?12>80b?7::298m3b=83.95;482:l1=0<332c=o7>5$3;5>246854i7`94?"51?0<>6`=9485?>o1i3:1(?79:608j7?22>10e;750;&1=3<0:2d95847;:k5<=h51<0j76g95;29 7?12>80b?7::c98m32=83.95;482:l1=05$3;5>246i54i7094?"51?0<>6`=948f?>o193:1(?79:608j7?22o10e;>50;&1=3<0:2d9584>0:9j1c<72-82:79=;o0:1?7632c>i7>5$3;5>246<<4;h7g>5<#:0<1;?5a287956=31<7*=97846>h51<0:865f7983>!4>>3=97c<65;36?>o0?3:1(?79:608j7?228<07d99:18'6<0=?;1e>4;51698m23=83.95;482:l1=0<6021b;94?:%0:2?153g8297?6;:k47?6=,;3=6:<4n3;6>4g<3`<<6=4+284937=i:0?1=o54i4a94?"51?0<>6`=9482g>=n=k0;6)<66;51?k4>=3;o76a=8b83>!4>>383h6`=9483?>i50k0;6)<66;0;`>h51<0:76a=8`83>!4>>383h6`=9481?>i5000;6)<66;0;`>h51<0876a=8983>!4>>383h6`=9487?>i50>0;6)<66;0;`>h51<0>76a=8783>!4>>383h6`=9485?>i50<0;6)<66;0;`>h51<0<76a=8283>!4>>383h6`=948;?>i50;0;6)<66;0;`>h51<0276a=8083>!4>>383h6`=948b?>i5090;6)<66;0;`>h51<0i76a=7g83>!4>>383h6`=948`?>i5?l0;6)<66;0;`>h51<0o76a=7e83>!4>>383h6`=948f?>i5?j0;6)<66;0;`>h51<0m76a=7c83>!4>>383h6`=94824>=h:>k1<7*=97815<#:0<1>5j4n3;6>44<3f8<;7>5$3;5>7>c3g8297?<;:m133<72-82:7<7d:l1=0<6<21d>:;50;&1=3<50m1e>4;51498k713290/>48529f8j7?228<07b<83;29 7?12;2o7c<65;34?>i5?;0;6)<66;0;`>h51<0:465`26394?"51?094i5a28795<=6c:9l63e=83.95;4=8e9m6<3=9m10c?8m:18'6<0=:1n0b?7::0g8?j41i3:1(?79:3:g?k4>=3;m76a=6883>!4>>383h6`=94814>=h:?21<7*=9781<54o344>5<#:0<1>5j4n3;6>74<3f8=:7>5$3;5>7>c3g8297<<;:m120<72-82:7<7d:l1=0<5<21d>;:50;&1=3<50m1e>4;52498k7?5290/>48529f8j7?22;<07b<61;29 7?12;2o7c<65;04?>i5190;6)<66;0;`>h51<09465`29d94?"51?094i5a28796<=6?o4;n0;0?6=,;3=6?6k;o0:1?4e32e9;44?:%0:2?4?l2d9584=c:9l63c=83.95;4=8e9m6<3=:m10c?8<:18'6<0=:1n0b?7::3g8?j41:3:1(?79:3:g?k4>=38m76g6c;29 7?120n0b?7::198m5$3;5>6?54i8;94?"51?02h6`=9480?>o>03:1(?79:8f8j7?22=10e4950;&1=3<>l2d9584:;:k:2?6=,;3=64j4n3;6>3=h51<0<76g64;29 7?120n0b?7::998m<5=83.95;46d:l1=0<>32c2=7>5$3;5>6l54i8294?"51?02h6`=948a?>o?n3:1(?79:8f8j7?22j10e5k50;&1=3<>l2d9584k;:k;`?6=,;3=64j4n3;6>`=h51<0m76g7b;29 7?120n0b?7::028?l>f290/>4859e9m6<3=9810e5750;&1=3<>l2d9584>2:9j<=<72-82:77k;o0:1?7432cj87>5$3;5>6<:4;hc0>5<#:0<15i5a287950=h51<0::65fa083>!4>>33o7c<65;34?>of83:1(?79:8f8j7?228207d7i:18'6<0=1m1e>4;51898m4e<3`2=6=4+2849=a=i:0?1=i54i06:>5<#:0<1=9o4n3;6>5=36=4+284951g6<54i064>5<#:0<1=9o4n3;6>7=5<7s-8i?7?68:J1f`=O:k?0V<>k:02x76<4l39h69o541811?7b2:h1?44>d;07>6>=9j09=7=n:319y!4e>3;j96`l4;28jfd=82d397>4n02`>5=im=0;7c?86;28j4b6291e>4650:l203<73g;m47>4nbc94>h69683?!4f1389i6*>cg800>"5j10:in5+23g96>"5j00:ik5+cb82aa=#:h21=nh4o30e>5<5<6=44o0f7>5<5<5<5<?6=44i3;g>5<5<5<5<5<5<5<5<5<86=44i3c7>5<5<h51<0;76ama;29 7?12ki0b?7::098kg?=83.95;4mc:l1=0<532ei47>5$3;5>ge6>54oc594?"51?0io6`=9487?>ie>3:1(?79:ca8j7?22<10co;50;&1=32=h51<0376am2;29 7?12ki0b?7::898kg6=83.95;4mc:l1=05$3;5>ge6o54o`g94?"51?0io6`=948`?>ifl3:1(?79:ca8j7?22m10clm50;&1=3c=h51<0:<65`a883>!4>>3hh7c<65;32?>if03:1(?79:ca8j7?228807bo8:18'6<0=jj1e>4;51298kf5=83.95;4mc:l1=0<6<21do?4?:%0:2?dd3g8297?:;:m`5?6=,;3=6om4n3;6>40<3fi;6=4+2849ff=i:0?1=:54ocd94?"51?0io6`=9482<>=hjl0;6)<66;``?k4>=3;276amd;29 7?12ki0b?7::0c8?jd6290/>485bb9m6<3=9k10cl850;&1=3c:9le0<72-82:7ll;o0:1?7c32c:j;4?:%0:2?7a?2d9584?;:k2b0<72-82:7?i7:l1=0<632c:j94?:%0:2?7a?2d9584=;:k2b6<72-82:7?i7:l1=0<432c:j?4?:%0:2?7a?2d9584;;:k2b4<72-82:7?i7:l1=0<232c:j=4?:%0:2?7a?2d95849;:k11g<72-82:7<:c:l1=0<732c99l4?:%0:2?42k2d9584>;:k11<<72-82:7<:c:l1=0<532c9954?:%0:2?42k2d9584<;:k112<72-82:7<:c:l1=0<332c99;4?:%0:2?42k2d9584:;:k110<72-82:7<:c:l1=0<132c9994?:%0:2?42k2d95848;:k117<72-82:7<:c:l1=09750;&1=3<5=j1e>4;51098m720290/>48524a8j7?228807d<;6;29 7?12;?h7c<65;30?>o5<<0;6)<66;06g>h51<0:865f25694?"51?099n5a287950=86=4+284960e6<84;h076?6=,;3=6?;l;o0:1?7032c98<4?:%0:2?42k2d9584>8:9j616=83.95;4=5b9m6<3=9010e?=i:18'6<0=:=3;i76g=3b83>!4>>38>o6`=9482g>=n::h1<7*=97811f=i:0?1=i54i31b>5<#:0<1>8m4n3;6>4c<3`8857>5$3;5>73d3g8297?i;:k17=<72-82:7<:c:l1=0<5821b>>950;&1=3<5=j1e>4;52098m751290/>48524a8j7?22;807d<<5;29 7?12;?h7c<65;00?>o5;=0;6)<66;06g>h51<09865f22194?"51?099n5a287960=6?84;h054?6=,;3=6?;l;o0:1?4032c99k4?:%0:2?42k2d9584=8:9j60c=83.95;4=5b9m6<3=:010e?;k:18'6<0=:=38i76g=4983>!4>>38>o6`=9481g>=n::n1<7*=97811f=i:0?1>i54i311>5<#:0<1>8m4n3;6>7c<3`88=7>5$3;5>73d3g82975=h51<0:76gl6;29 7?12j30b?7::398mf3=83.95;4l9:l1=0<432c:?l4?:%0:2?74j2d9584?;:k27<<72-82:7?32c:>k4?:%0:2?74j2d9584n;:k26`<72-82:7?i4?:%0:2?74j2d9584l;:k26f<72-82:7?o4?:%0:2?74j2d9584j;:k26d<72-82:7?44?:%0:2?74j2d9584>0:9j57>=83.95;4>3c9m6<3=9810e<<9:18'6<0=9:h0b?7::008?l75=3:1(?79:01a?k4>=3;876g>2583>!4>>3;8n6`=94820>=n9;91<7*=97827g=i:0?1=854i001>5<#:0<1=>l4n3;6>40<3`;9=7>5$3;5>45e3g8297?8;:k265<72-82:7?4;51898m47b290/>48512`8j7?228k07d?>d;29 7?1289i7c<65;3a?>o69k0;6)<66;30f>h51<0:o65f10c94?"51?0:?o5a28795a=63c9m6<3=:810e=38876g>1283>!4>>3;8n6`=94810>=n9881<7*=97827g=i:0?1>854i063>5<#:0<1=>l4n3;6>70<3`;8j7>5$3;5>45e3g8297<8;:k27`<72-82:7?j50;&1=3<6;k1e>4;52898m45d290/>48512`8j7?22;k07d?<2;29 7?1289i7c<65;0a?>o6:>0;6)<66;30f>h51<09o65f10a94?"51?0:?o5a28796a=6?k4;h324?6=,;3=6<=m;o0:1?4a32e:8k4?:%0:2?7282d9584?;:m20`<72-82:7?:0:l1=0<632e:8i4?:%0:2?7282d9584=;:m20f<72-82:7?:0:l1=0<432c:o<4?:%0:2?7d:2d9584?;:k2g5<72-82:7?l2:l1=0<632c:nk4?:%0:2?7d:2d9584=;:k2f`<72-82:7?l2:l1=0<432c:ni4?:%0:2?7d:2d9584;;:kfa?6=,;3=6hh4n3;6>5=h51<0:76gjc;29 7?12ll0b?7::398m`d=83.95;4jf:l1=0<432cnm7>5$3;5>``6954id;94?"51?0nj6`=9486?>ob03:1(?79:dd8j7?22?10eh950;&1=3==h51<0276gi5;29 7?12ll0b?7::`98mc2=83.95;4jf:l1=05$3;5>``6n54ig094?"51?0nj6`=948g?>oa93:1(?79:dd8j7?22l10ek>50;&1=346<3`o>6=4+2849ac=i:0?1=<54ie594?"51?0o46`=9483?>oc>3:1(?79:e:8j7?22810ei;50;&1=36=h51<0?76gk2;29 7?12m20b?7::498ma7=83.95;4k8:l1=0<132co<7>5$3;5>a>6:54id294?"51?0o46`=948;?>ocn3:1(?79:e:8j7?22010eik50;&1=3g=h51<0h76gkb;29 7?12m20b?7::e98mag=83.95;4k8:l1=05$3;5>a>6k54ibd94?"51?0o46`=94824>=nkl0;6)<66;f;?k4>=3;:76a>0083>!4>>3;;>6`=9483?>i6890;6)<66;336>h51<0:76aif;29 7?128:97c<65;08?j`b290/>4851108j7?22:10ckj50;&1=3<68;1e>4;54:9lbf<72-82:7??2:l1=0<232emn7>5$3;5>4653g829784;ndb>5<#:0<1==<4n3;6>2=6554o02:>5<#:0<1==<4n3;6><=6l54o024>5<#:0<1==<4n3;6>g=6n54o026>5<#:0<1==<4n3;6>a=6h54o020>5<#:0<1==<4n3;6>c=6=54i0:;>5<#:0<1=5o4n3;6>4=6?54i0:5>5<#:0<1=5o4n3;6>6=6=4+28495=g6954i0:0>5<#:0<1=5o4n3;6>0=6;54i0:2>5<#:0<1=5o4n3;6>2=6554i05e>5<#:0<1=5o4n3;6><=6l54i05g>5<#:0<1=5o4n3;6>g=6n54i05a>5<#:0<1=5o4n3;6>a=6h54i0;2>5<#:0<1=5o4n3;6>c=6<>4;h3;b?6=,;3=6<6n;o0:1?7632c:4h4?:%0:2?7?i2d9584>2:9j5=b=83.95;4>8`9m6<3=9:10e<6l:18'6<0=91k0b?7::068?l7?j3:1(?79:0:b?k4>=3;>76g>8583>!4>>3;3m6`=94822>=n9>31<7*=97825<#:0<1=5o4n3;6>4><3f8997>5$3;5>7413g8297>4;n010?6=,;3=6?<9;o0:1?7<3f89?7>5$3;5>7413g8297<4;n016?6=,;3=6?<9;o0:1?5<3f89=7>5$3;5>7413g8297:4;n014?6=,;3=6?<9;o0:1?3<3f8:j7>5$3;5>7413g829784;n02a?6=,;3=6?<9;o0:1?1<3f8:o7>5$3;5>7413g829764;n02f?6=,;3=6?<9;o0:1??<3f8:m7>5$3;5>7413g8297o4;n02=?6=,;3=6?<9;o0:1?d<3f8:47>5$3;5>7413g8297m4;n023?6=,;3=6?<9;o0:1?b<3f8::7>5$3;5>7413g8297k4;n021?6=,;3=6?<9;o0:1?`<3f8:87>5$3;5>7413g8297??;:m156<72-82:7<=6:l1=0<6921d>4;51398k777290/>4852348j7?228907bi58l0;6)<66;012>h51<0:965`21f94?"51?09>;5a287953=6<94;n03f?6=,;3=6?<9;o0:1?7?32e92d9584>9:9l65?=83.95;4=279m6<3=9h10c?>7:18'6<0=:;<0b?7::0`8?j47>3:1(?79:305?k4>=3;h76a=0483>!4>>389:6`=9482`>=h:9>1<7*=978163=i:0?1=h54o320>5<#:0<1>?84n3;6>4`<3f8;>7>5$3;5>7413g8297=>50;&1=3<5:?1e>4;52398k4`a290/>4852348j7?22;907b?ie;29 7?12;8=7c<65;07?>i6nm0;6)<66;012>h51<09965`23`94?"51?09>;5a287963=6?94;n01=?6=,;3=6?<9;o0:1?4?32e9>54?:%0:2?45>2d9584=9:9l671=83.95;4=279m6<3=:h10c??k:18'6<0=:;<0b?7::3`8?j46:3:1(?79:305?k4>=38h76a=0683>!4>>389:6`=9481`>=h9oi1<7*=978163=i:0?1>h54o0da>5<#:0<1>?84n3;6>7`<3`=:6=4+284937=i:0?1<65f7183>!4>>3=97c<65;38?l0a290/>485739m6<3=:21b:h4?:%0:2?153g8297=4;h4g>5<#:0<1;?5a28790>=n>j0;6)<66;51?k4>=3?07d8m:18'6<0=?;1e>4;56:9j2d<72-82:79=;o0:1?1<3`<26=4+284937=i:0?1465f6983>!4>>3=97c<65;;8?l01290/>485739m6<3=i21b:84?:%0:2?153g8297l4;h47>5<#:0<1;?5a2879g>=n>:0;6)<66;51?k4>=3n07d8=:18'6<0=?;1e>4;5e:9j24<72-82:79=;o0:1?`<3`<;6=4+284937=i:0?1==54i4d94?"51?0<>6`=94825>=n=l0;6)<66;51?k4>=3;976g:d;29 7?12>80b?7::018?l1>290/>485739m6<3=9=10e:650;&1=3<0:2d9584>5:9j32<72-82:79=;o0:1?7132c<:7>5$3;5>246<94;h56>5<#:0<1;?5a28795==>1<7*=97846>h51<0:565f7283>!4>>3=97c<65;3b?>o1?3:1(?79:608j7?228h07d;l:18'6<0=?;1e>4;51b98m0d=83.95;482:l1=0<6l21d>5m50;&1=3<50m1e>4;50:9l6=d=83.95;4=8e9m6<3=921d>5o50;&1=3<50m1e>4;52:9l6=?=83.95;4=8e9m6<3=;21d>5650;&1=3<50m1e>4;54:9l6=1=83.95;4=8e9m6<3==21d>5850;&1=3<50m1e>4;56:9l6=3=83.95;4=8e9m6<3=?21d>5=50;&1=3<50m1e>4;58:9l6=4=83.95;4=8e9m6<3=121d>5?50;&1=3<50m1e>4;5a:9l6=6=83.95;4=8e9m6<3=j21d>:h50;&1=3<50m1e>4;5c:9l62c=83.95;4=8e9m6<3=l21d>:j50;&1=3<50m1e>4;5e:9l62e=83.95;4=8e9m6<3=n21d>:l50;&1=3<50m1e>4;51198k71f290/>48529f8j7?228;07b<88;29 7?12;2o7c<65;31?>i5?>0;6)<66;0;`>h51<0:?65`26494?"51?094i5a287951=6=4+28496=b6<;4;n040?6=,;3=6?6k;o0:1?7132e9;>4?:%0:2?4?l2d9584>7:9l624=83.95;4=8e9m6<3=9110c?9>:18'6<0=:1n0b?7::0;8?j4083:1(?79:3:g?k4>=3;j76a=6g83>!4>>383h6`=9482f>=h:?n1<7*=97815<#:0<1>5j4n3;6>4b<3f8=n7>5$3;5>7>c3g8297?j;:m12d<72-82:7<7d:l1=0<6n21d>;750;&1=3<50m1e>4;52198k70?290/>48529f8j7?22;;07b<97;29 7?12;2o7c<65;01?>i5>?0;6)<66;0;`>h51<09?65`27794?"51?094i5a287961=6?;4;n0:6?6=,;3=6?6k;o0:1?4132e95<4?:%0:2?4?l2d9584=7:9l6<6=83.95;4=8e9m6<3=:110c?6i:18'6<0=:1n0b?7::3;8?j4?m3:1(?79:3:g?k4>=38j76a=8583>!4>>383h6`=9481f>=h:>31<7*=9781n54o34f>5<#:0<1>5j4n3;6>7b<3f8=?7>5$3;5>7>c3g82974;h;a>5<#:0<15i5a28795>=n1h0;6)<66;;g?k4>=3807d76:18'6<0=1m1e>4;53:9j==<72-82:77k;o0:1?2<3`3<6=4+2849=a=i:0?1965f9783>!4>>33o7c<65;48?l?2290/>4859e9m6<3=?21b594?:%0:2??c3g829764;h;0>5<#:0<15i5a2879=>=n180;6)<66;;g?k4>=3k07d7?:18'6<0=1m1e>4;5b:9j!4>>33o7c<65;g8?l>d290/>4859e9m6<3=n21b4o4?:%0:2??c3g8297??;:k;e?6=,;3=64j4n3;6>47<3`226=4+2849=a=i:0?1=?54i9:94?"51?02h6`=94827>=ni=0;6)<66;;g?k4>=3;?76gn3;29 7?120n0b?7::078?lg5290/>4859e9m6<3=9?10el?50;&1=3<>l2d9584>7:9je5<72-82:77k;o0:1?7?32c2j7>5$3;5>6<74;h;f>5<#:0<15i5a28795d=h51<0:n65f8683>!4>>33o7c<65;3`?>o?>3:1(?79:8f8j7?228n07d?;9;29 7?128>j7c<65;28?l7303:1(?79:06b?k4>=3;07d?;7;29 7?128>j7c<65;08?xd5:>h1<7?n1;294~"5j:0:555G2cg8L7d23S;;h7??{2197a<4k3>j69>52482a?5e2:31=i4=4;1;>4e=:808m7<<:|&1f3<6i<1eo94?;oaa>5=i0<0;7c??c;28j`2=82d:;;4?;o3g5?6h6n10;7cmn:19m51d=82d:o>4?;%0a3?7c:2.hh7?:1:&24`<61?1e=4950:&1e<<5:l1/=nh5359'6g>=9li0(?m3:17d?:c;29?l4f;3:17d?:6;29?l72<3:17d?91;29?l72;3:17d485bb9m6<3=821dnl4?:%0:2?dd3g8297?4;n`:>5<#:0<1nn5a28796>=hj10;6)<66;``?k4>=3907bl8:18'6<0=jj1e>4;54:9lf3<72-82:7ll;o0:1?3<3fh>6=4+2849ff=i:0?1:65`b583>!4>>3hh7c<65;58?jd4290/>485bb9m6<3=021dn?4?:%0:2?dd3g829774;n`3>5<#:0<1nn5a2879e>=hio0;6)<66;``?k4>=3h07boj:18'6<0=jj1e>4;5c:9lea<72-82:7ll;o0:1?b<3fkh6=4+2849ff=i:0?1i65`ac83>!4>>3hh7c<65;d8?jgf290/>485bb9m6<3=9910cl750;&1=31:9le=<72-82:7ll;o0:1?7532ej;7>5$3;5>ge6<=4;na0>5<#:0<1nn5a287951=h51<0:965`c083>!4>>3hh7c<65;35?>id83:1(?79:ca8j7?228=07bli:18'6<0=jj1e>4;51998kgc=83.95;4mc:l1=0<6121dni4?:%0:2?dd3g8297?n;:ma5?6=,;3=6om4n3;6>4d<3fk=6=4+2849ff=i:0?1=n54o`794?"51?0io6`=9482`>=n9o<1<7*=9782b2=i:0?1<65f1g794?"51?0:j:5a28795>=n9o>1<7*=9782b2=i:0?1>65f1g194?"51?0:j:5a28797>=n9o81<7*=9782b2=i:0?1865f1g394?"51?0:j:5a28791>=n9o:1<7*=9782b2=i:0?1:65f24`94?"51?099n5a28794>=n:=n:<21<7*=97811f=i:0?1?65f24594?"51?099n5a28790>=n:<<1<7*=97811f=i:0?1965f24794?"51?099n5a28792>=n:<>1<7*=97811f=i:0?1;65f24094?"51?099n5a2879<>=n:<;1<7*=97811f=i:0?1565f24294?"51?099n5a2879e>=n:=l1<7*=97811f=i:0?1n65f25g94?"51?099n5a2879g>=n:=n1<7*=97811f=i:0?1h65f25a94?"51?099n5a2879a>=n:=h1<7*=97811f=i:0?1j65f25c94?"51?099n5a287955=26=4+284960e63:9j613=83.95;4=5b9m6<3=9=10e?:;:18'6<0=:=3;=76g=4383>!4>>38>o6`=94823>=n:=;1<7*=97811f=i:0?1=554i363>5<#:0<1>8m4n3;6>4?<3`88j7>5$3;5>73d3g8297?n;:k17`<72-82:7<:c:l1=0<6j21b>>m50;&1=3<5=j1e>4;51b98m75e290/>48524a8j7?228n07d<o5;00;6)<66;06g>h51<0:j65f22:94?"51?099n5a287965=6??4;h002?6=,;3=6?;l;o0:1?4532c9?84?:%0:2?42k2d9584=3:9j662=83.95;4=5b9m6<3=:=10e?=<:18'6<0=:=38=76g=6183>!4>>38>o6`=94813>=n:554i37f>5<#:0<1>8m4n3;6>7?<3`8>h7>5$3;5>73d3g82979650;&1=3<5=j1e>4;52b98m75c290/>48524a8j7?22;n07d<<2;29 7?12;?h7c<65;0f?>o5;80;6)<66;06g>h51<09j65fc983>!4>>3i27c<65;28?le0290/>485c89m6<3=921bo;4?:%0:2?e>3g8297<4;ha6>5<#:0<1o45a28797>=n9:k1<7*=97827g=i:0?1<65f12;94?"51?0:?o5a28795>=n9:21<7*=97827g=i:0?1>65f12594?"51?0:?o5a28797>=n9:<1<7*=97827g=i:0?1865f12794?"51?0:?o5a28791>=n9:>1<7*=97827g=i:0?1:65f12194?"51?0:?o5a28793>=n9:;1<7*=97827g=i:0?1465f12294?"51?0:?o5a2879=>=n9;l1<7*=97827g=i:0?1m65f13g94?"51?0:?o5a2879f>=n9;n1<7*=97827g=i:0?1o65f13a94?"51?0:?o5a2879`>=n9;h1<7*=97827g=i:0?1i65f13c94?"51?0:?o5a2879b>=n9;31<7*=97827g=i:0?1==54i00;>5<#:0<1=>l4n3;6>47<3`;9:7>5$3;5>45e3g8297?=;:k260<72-82:7?4;51598m444290/>48512`8j7?228?07d?=2;29 7?1289i7c<65;35?>o6:80;6)<66;30f>h51<0:;65f13294?"51?0:?o5a28795==6<74;h32a?6=,;3=6<=m;o0:1?7f32c:=i4?:%0:2?74j2d9584>b:9j54d=83.95;4>3c9m6<3=9j10e=3;n76g>1983>!4>>3;8n6`=9482b>=n98=1<7*=97827g=i:0?1>=54i035>5<#:0<1=>l4n3;6>77<3`;:97>5$3;5>45e3g8297<=;:k251<72-82:7?4;52598m475290/>48512`8j7?22;?07d?;0;29 7?1289i7c<65;05?>o6;o0;6)<66;30f>h51<09;65f12g94?"51?0:?o5a28796==6?74;h30g?6=,;3=6<=m;o0:1?4f32c:??4?:%0:2?74j2d9584=b:9j571=83.95;4>3c9m6<3=:j10e=38n76g>1183>!4>>3;8n6`=9481b>=h9=l1<7*=978215=i:0?1<65`15g94?"51?0:9=5a28795>=h9=n1<7*=978215=i:0?1>65`15a94?"51?0:9=5a28797>=n9j;1<7*=9782g7=i:0?1<65f1b294?"51?0:o?5a28795>=n9kl1<7*=9782g7=i:0?1>65f1cg94?"51?0:o?5a28797>=n9kn1<7*=9782g7=i:0?1865fed83>!4>>3om7c<65;28?lcc290/>485eg9m6<3=921bin4?:%0:2?ca3g8297<4;hga>5<#:0<1ik5a28797>=nmh0;6)<66;ge?k4>=3>07dk6:18'6<0=mo1e>4;55:9ja=<72-82:7ki;o0:1?0<3`o<6=4+2849ac=i:0?1;65ff683>!4>>3om7c<65;:8?l`1290/>485eg9m6<3=121bj84?:%0:2?ca3g8297o4;hd7>5<#:0<1ik5a2879f>=nn:0;6)<66;ge?k4>=3i07dh=:18'6<0=mo1e>4;5d:9jb4<72-82:7ki;o0:1?c<3`l;6=4+2849ac=i:0?1j65fe783>!4>>3om7c<65;33?>ob=3:1(?79:dd8j7?228;07dj8:18'6<0=l11e>4;50:9j`3<72-82:7j7;o0:1?7<3`n>6=4+2849`==i:0?1>65fd583>!4>>3n37c<65;18?lb4290/>485d99m6<3=<21bh?4?:%0:2?b?3g8297;4;hf2>5<#:0<1h55a28792>=nl90;6)<66;f;?k4>=3=07dk?:18'6<0=l11e>4;58:9j`c<72-82:7j7;o0:1??<3`nn6=4+2849`==i:0?1m65fde83>!4>>3n37c<65;`8?lbd290/>485d99m6<3=k21bho4?:%0:2?b?3g8297j4;hfb>5<#:0<1h55a2879a>=nl00;6)<66;f;?k4>=3l07dmi:18'6<0=l11e>4;51198mfc=83.95;4k8:l1=0<6921d==?50;&1=3<68;1e>4;50:9l556=83.95;4>039m6<3=921djk4?:%0:2?77:2d9584=;:mea?6=,;3=6<>=;o0:1?5<3flo6=4+28495546954oga94?"51?0:=hnk0;6)<66;336>h51<0=76aia;29 7?128:97c<65;58?j77i3:1(?79:021?k4>=3207b??9;29 7?128:97c<65;;8?j7703:1(?79:021?k4>=3k07b??7;29 7?128:97c<65;`8?j77>3:1(?79:021?k4>=3i07b??5;29 7?128:97c<65;f8?j77<3:1(?79:021?k4>=3o07b??3;29 7?128:97c<65;d8?j`>290/>4851108j7?228:07bh7:18'6<0=9980b?7::038?l7?13:1(?79:0:b?k4>=3:07d?78;29 7?1282j7c<65;38?l7??3:1(?79:0:b?k4>=3807d?76;29 7?1282j7c<65;18?l7?=3:1(?79:0:b?k4>=3>07d?73;29 7?1282j7c<65;78?l7?:3:1(?79:0:b?k4>=3<07d?71;29 7?1282j7c<65;58?l7?83:1(?79:0:b?k4>=3207d?8f;29 7?1282j7c<65;;8?l70m3:1(?79:0:b?k4>=3k07d?8d;29 7?1282j7c<65;`8?l70k3:1(?79:0:b?k4>=3i07d?8b;29 7?1282j7c<65;f8?l70i3:1(?79:0:b?k4>=3o07d?61;29 7?1282j7c<65;d8?l7>83:1(?79:0:b?k4>=3;;76g>8g83>!4>>3;3m6`=94825>=n91o1<7*=97825<#:0<1=5o4n3;6>45<3`;3o7>5$3;5>4>f3g8297?;;:k24;51798m41>290/>48519c8j7?228=07d?88;29 7?1282j7c<65;3;?>i5:<0;6)<66;012>h51<0;76a=2583>!4>>389:6`=9482?>i5::0;6)<66;012>h51<0976a=2383>!4>>389:6`=9480?>i5:80;6)<66;012>h51<0?76a=2183>!4>>389:6`=9486?>i59o0;6)<66;012>h51<0=76a=1d83>!4>>389:6`=9484?>i59j0;6)<66;012>h51<0376a=1c83>!4>>389:6`=948:?>i59h0;6)<66;012>h51<0j76a=1883>!4>>389:6`=948a?>i5910;6)<66;012>h51<0h76a=1683>!4>>389:6`=948g?>i59?0;6)<66;012>h51<0n76a=1483>!4>>389:6`=948e?>i59=0;6)<66;012>h51<0:<65`20194?"51?09>;5a287954=6<<4;n024?6=,;3=6?<9;o0:1?7432e92d9584>4:9l65c=83.95;4=279m6<3=9<10c?>k:18'6<0=:;<0b?7::048?j47k3:1(?79:305?k4>=3;<76a=0c83>!4>>389:6`=9482<>=h:9k1<7*=978163=i:0?1=454o32:>5<#:0<1>?84n3;6>4g<3f8;47>5$3;5>7413g8297?m;:m143<72-82:7<=6:l1=0<6k21d>=;50;&1=3<5:?1e>4;51e98k763290/>4852348j7?228o07bi58;0;6)<66;012>h51<09<65`21394?"51?09>;5a287964=6?<4;n3eb?6=,;3=6?<9;o0:1?4432e:jh4?:%0:2?45>2d9584=4:9l5cb=83.95;4=279m6<3=:<10c?=38<76a=2883>!4>>389:6`=9481<>=h:;21<7*=978163=i:0?1>454o304>5<#:0<1>?84n3;6>7g<3f8:h7>5$3;5>7413g8297=950;&1=3<5:?1e>4;52e98k4`d290/>4852348j7?22;o07b?ib;29 7?12;8=7c<65;0e?>o093:1(?79:608j7?22910e:>50;&1=3<0:2d9584>;:k5b?6=,;3=6:<4n3;6>7=h51<0876g9d;29 7?12>80b?7::598m3e=83.95;482:l1=0<232c=n7>5$3;5>246;54i7c94?"51?0<>6`=9484?>o113:1(?79:608j7?22110e;650;&1=3<0:2d95846;:k52?6=,;3=6:<4n3;6>d=h51<0i76g94;29 7?12>80b?7::b98m35=83.95;482:l1=07>5$3;5>246h54i7394?"51?0<>6`=948e?>o183:1(?79:608j7?228:07d;i:18'6<0=?;1e>4;51098m0c=83.95;482:l1=0<6:21b9i4?:%0:2?153g8297?<;:k4=?6=,;3=6:<4n3;6>42<3`=36=4+284937=i:0?1=854i6594?"51?0<>6`=94822>=n??0;6)<66;51?k4>=3;<76g85;29 7?12>80b?7::0:8?l13290/>485739m6<3=9010e:=50;&1=3<0:2d9584>a:9j22<72-82:79=;o0:1?7e32c>o7>5$3;5>2465<#:0<1;?5a28795a=6=54o3:a>5<#:0<1>5j4n3;6>4=6?54o3::>5<#:0<1>5j4n3;6>6=6954o3:4>5<#:0<1>5j4n3;6>0=6;54o3:6>5<#:0<1>5j4n3;6>2=6554o3:1>5<#:0<1>5j4n3;6><=6l54o3:3>5<#:0<1>5j4n3;6>g=6n54o35f>5<#:0<1>5j4n3;6>a=6h54o35`>5<#:0<1>5j4n3;6>c=6<>4;n04e?6=,;3=6?6k;o0:1?7632e9;54?:%0:2?4?l2d9584>2:9l621=83.95;4=8e9m6<3=9:10c?99:18'6<0=:1n0b?7::068?j40=3:1(?79:3:g?k4>=3;>76a=7583>!4>>383h6`=94822>=h:>91<7*=97815<#:0<1>5j4n3;6>4><3f8<=7>5$3;5>7>c3g8297?6;:m135<72-82:7<7d:l1=0<6i21d>;h50;&1=3<50m1e>4;51c98k70c290/>48529f8j7?228i07b<9c;29 7?12;2o7c<65;3g?>i5>k0;6)<66;0;`>h51<0:i65`27c94?"51?094i5a28795c=6?>4;n05=38>76a=9383>!4>>383h6`=94812>=h:0;1<7*=9781:54o3;3>5<#:0<1>5j4n3;6>7><3f83j7>5$3;5>7>c3g8297<6;:m1<`<72-82:7<7d:l1=0<5i21d>5:50;&1=3<50m1e>4;52c98k71>290/>48529f8j7?22;i07b<9e;29 7?12;2o7c<65;0g?>i5>:0;6)<66;0;`>h51<09i65`27094?"51?094i5a28796c=h51<0;76g6b;29 7?120n0b?7::098m5$3;5>6>54i8:94?"51?02h6`=9487?>o>?3:1(?79:8f8j7?22<10e4850;&1=3<>l2d95849;:k:1?6=,;3=64j4n3;6>2=1<7*=978:`>h51<0376g63;29 7?120n0b?7::898m<7=83.95;46d:l1=05$3;5>6o54i9d94?"51?02h6`=948`?>o?m3:1(?79:8f8j7?22m10e5j50;&1=3<>l2d9584j;:k;g?6=,;3=64j4n3;6>c=h51<0:<65f8`83>!4>>33o7c<65;32?>o?13:1(?79:8f8j7?228807d67:18'6<0=1m1e>4;51298md2=83.95;46d:l1=0<6<21bm>4?:%0:2??c3g8297?:;:kb6?6=,;3=64j4n3;6>40<3`k:6=4+2849=a=i:0?1=:54i`294?"51?02h6`=9482<>=n1o0;6)<66;;g?k4>=3;276g6e;29 7?120n0b?7::0c8?l?5290/>4859e9m6<3=9k10e5950;&1=3<>l2d9584>c:9j<3<72-82:77k;o0:1?7c32c:844?:%0:2?73i2d9584?;:k20=<72-82:7?;a:l1=0<632c:8:4?:%0:2?73i2d9584=;:a671f290:m<4?:1y'6g5=9020D?lj;I0a1>\68m0:;6?;51d80f?5>28n1>94<8;3`>77=;h09?7s+2c495d31<6`lb;28j=3=82d:5=i9><1<6`>d083?k4>03:0b<:9:19m5c>=82dhm7>4n06a>5=i9j91<6*=b682`7=#km0:5;5+11g95<0:4$3`;>4cd3-89i7<4$3`:>4ca3-ih6k4?::k1e4<722c:9:4?::k210<722e:h94?::k21<<722c9m84?::k2`6<722c:954?::k1e7<722c:9k4?::m201<722c95i4?::k21d<722e9n94?::m175<722c95k4?::k1=`<722c:9n4?::k1e6<722c:9;4?::k211<722c::<4?::k216<722c9m=4?::m2b<<722c:9o4?::k21`<722c:884?::k206<722c9m94?::k21a<722c9m;4?::maf?6=,;3=6om4n3;6>5=h51<0:76am9;29 7?12ki0b?7::398kg>=83.95;4mc:l1=0<432ei;7>5$3;5>ge6954oc494?"51?0io6`=9486?>ie=3:1(?79:ca8j7?22?10co:50;&1=3==h51<0276am0;29 7?12ki0b?7::`98kd`=83.95;4mc:l1=05$3;5>ge6n54o`f94?"51?0io6`=948g?>ifk3:1(?79:ca8j7?22l10cll50;&1=346<3fk26=4+2849ff=i:0?1=<54o`:94?"51?0io6`=94826>=hi>0;6)<66;``?k4>=3;876al3;29 7?12ki0b?7::068?je5290/>485bb9m6<3=9<10cn?50;&1=36:9lg5<72-82:7ll;o0:1?7032eij7>5$3;5>ge6<64;n`f>5<#:0<1nn5a28795<=h51<0:m65`b083>!4>>3hh7c<65;3a?>if>3:1(?79:ca8j7?228i07bo::18'6<0=jj1e>4;51e98m4`1290/>4851g58j7?22910e4851g58j7?22;10e4851g58j7?22=10e:18'6<0=9o=0b?7::498m4`7290/>4851g58j7?22?10e?;m:18'6<0=:48524a8j7?22810e?;6:18'6<0=:48524a8j7?22:10e?;8:18'6<0=:48524a8j7?22<10e?;::18'6<0=:48524a8j7?22>10e?;=:18'6<0=:48524a8j7?22010e?;?:18'6<0=:48524a8j7?22k10e?:j:18'6<0=:48524a8j7?22m10e?:l:18'6<0=:48524a8j7?22o10e?:n:18'6<0=:=3;:76g=4683>!4>>38>o6`=94826>=n:=<1<7*=97811f=i:0?1=>54i366>5<#:0<1>8m4n3;6>42<3`8?87>5$3;5>73d3g8297?:;:k106<72-82:7<:c:l1=0<6>21b>9<50;&1=3<5=j1e>4;51698m726290/>48524a8j7?228207d<;0;29 7?12;?h7c<65;3:?>o5;o0;6)<66;06g>h51<0:m65f22g94?"51?099n5a28795g=6e:9j66?=83.95;4=5b9m6<3=9o10e?=7:18'6<0=:=38:76g=3783>!4>>38>o6`=94816>=n::?1<7*=97811f=i:0?1>>54i317>5<#:0<1>8m4n3;6>72<3`88?7>5$3;5>73d3g8297<:;:k124<72-82:7<:c:l1=0<5>21b>;>50;&1=3<5=j1e>4;52698m73a290/>48524a8j7?22;207d<:e;29 7?12;?h7c<65;0:?>o5=m0;6)<66;06g>h51<09m65f24194?"51?099n5a28796g=36=4+284960e6?m4;h00`?6=,;3=6?;l;o0:1?4c32c9??4?:%0:2?42k2d9584=e:9j667=83.95;4=5b9m6<3=:o10en650;&1=34=h51<0976gl5;29 7?12j30b?7::298m45f290/>48512`8j7?22910e<=6:18'6<0=9:h0b?7::098m45?290/>48512`8j7?22;10e<=8:18'6<0=9:h0b?7::298m451290/>48512`8j7?22=10e<=::18'6<0=9:h0b?7::498m453290/>48512`8j7?22?10e<=<:18'6<0=9:h0b?7::698m456290/>48512`8j7?22110e<=?:18'6<0=9:h0b?7::898m44a290/>48512`8j7?22h10e<48512`8j7?22j10e<48512`8j7?22l10e<290/>48512`8j7?228:07d?=8;29 7?1289i7c<65;32?>o6:?0;6)<66;30f>h51<0:>65f13794?"51?0:?o5a287956=6<:4;h317?6=,;3=6<=m;o0:1?7232c:>?4?:%0:2?74j2d9584>6:9j577=83.95;4>3c9m6<3=9>10e<=3;276g>1d83>!4>>3;8n6`=9482e>=n98n1<7*=97827g=i:0?1=o54i03a>5<#:0<1=>l4n3;6>4e<3`;:m7>5$3;5>45e3g8297?k;:k25<<72-82:7?4;51g98m470290/>48512`8j7?22;:07d?>6;29 7?1289i7c<65;02?>o69<0;6)<66;30f>h51<09>65f10694?"51?0:?o5a287966=6?:4;h326?6=,;3=6<=m;o0:1?4232c:8=4?:%0:2?74j2d9584=6:9j56`=83.95;4>3c9m6<3=:>10e<=j:18'6<0=9:h0b?7::3:8?l74l3:1(?79:01a?k4>=38276g>3b83>!4>>3;8n6`=9481e>=n9:81<7*=97827g=i:0?1>o54i004>5<#:0<1=>l4n3;6>7e<3`;:o7>5$3;5>45e3g829750;&1=3<6;k1e>4;52g98k42a290/>4851428j7?22910c<:j:18'6<0=9<:0b?7::098k42c290/>4851428j7?22;10c<:l:18'6<0=9<:0b?7::298m4e6290/>4851b08j7?22910e4851b08j7?22;10e4851b08j7?22=10ehk50;&1=34=h51<0976gjb;29 7?12ll0b?7::298m`g=83.95;4jf:l1=0<332cn57>5$3;5>``6854id:94?"51?0nj6`=9485?>ob?3:1(?79:dd8j7?22>10ek950;&1=3<=h51<0j76gi4;29 7?12ll0b?7::c98mc5=83.95;4jf:l1=07>5$3;5>``6i54ig394?"51?0nj6`=948f?>oa83:1(?79:dd8j7?22o10eh850;&1=30:9ja0<72-82:7ki;o0:1?7632co;7>5$3;5>a>6=54ie494?"51?0o46`=9482?>oc=3:1(?79:e:8j7?22;10ei:50;&1=31=h51<0>76gk1;29 7?12m20b?7::798ma6=83.95;4k8:l1=0<032cn<7>5$3;5>a>6554ied94?"51?0o46`=948:?>ocm3:1(?79:e:8j7?22h10eij50;&1=3f=h51<0o76gka;29 7?12m20b?7::d98ma?=83.95;4k8:l1=05$3;5>a>6<>4;haf>5<#:0<1h55a287954=6=54o023>5<#:0<1==<4n3;6>4=65`fd83>!4>>3;;>6`=9480?>ial3:1(?79:021?k4>=3>07bhl:18'6<0=9980b?7::498kcd=83.95;4>039m6<3=>21djl4?:%0:2?77:2d95848;:m24d<72-82:7??2:l1=04?:%0:2?77:2d9584i;:me=?6=,;3=6<>=;o0:1?7732em47>5$3;5>4653g8297?>;:k2<<<72-82:7?7a:l1=0<732c:454?:%0:2?7?i2d9584>;:k2<2<72-82:7?7a:l1=0<532c:4;4?:%0:2?7?i2d9584<;:k2<0<72-82:7?7a:l1=0<332c:4>4?:%0:2?7?i2d9584:;:k2<7<72-82:7?7a:l1=0<132c:4<4?:%0:2?7?i2d95848;:k2<5<72-82:7?7a:l1=04;51098m4>b290/>48519c8j7?228807d?7d;29 7?1282j7c<65;30?>o60j0;6)<66;3;e>h51<0:865f19`94?"51?0:4l5a287950=6<84;h34=?6=,;3=6<6n;o0:1?7032c:;54?:%0:2?7?i2d9584>8:9l673=83.95;4=279m6<3=821d>?:50;&1=3<5:?1e>4;51:9l675=83.95;4=279m6<3=:21d>?<50;&1=3<5:?1e>4;53:9l677=83.95;4=279m6<3=<21d>?>50;&1=3<5:?1e>4;55:9l64`=83.95;4=279m6<3=>21d>4;57:9l64e=83.95;4=279m6<3=021d>4;59:9l64g=83.95;4=279m6<3=i21d><750;&1=3<5:?1e>4;5b:9l64>=83.95;4=279m6<3=k21d><950;&1=3<5:?1e>4;5d:9l640=83.95;4=279m6<3=m21d><;50;&1=3<5:?1e>4;5f:9l642=83.95;4=279m6<3=9910c??<:18'6<0=:;<0b?7::038?j4693:1(?79:305?k4>=3;976a=1183>!4>>389:6`=94827>=h:9l1<7*=978163=i:0?1=954o32f>5<#:0<1>?84n3;6>43<3f8;h7>5$3;5>7413g8297?9;:m14f<72-82:7<=6:l1=0<6?21d>=l50;&1=3<5:?1e>4;51998k76f290/>4852348j7?228307bi5810;6)<66;012>h51<0:n65`21494?"51?09>;5a28795f=6=4+284967064?:%0:2?45>2d9584>f:9l654=83.95;4=279m6<3=:910c?>>:18'6<0=:;<0b?7::338?j4783:1(?79:305?k4>=38976a>fg83>!4>>389:6`=94817>=h9oo1<7*=978163=i:0?1>954o0dg>5<#:0<1>?84n3;6>73<3f89n7>5$3;5>7413g8297<9;:m16d<72-82:7<=6:l1=0<5?21d>?750;&1=3<5:?1e>4;52998k74?290/>4852348j7?22;307b<=7;29 7?12;8=7c<65;0b?>i59m0;6)<66;012>h51<09n65`20094?"51?09>;5a28796f=6?j4;n3eg?6=,;3=6?<9;o0:1?4b32e:jo4?:%0:2?45>2d9584=f:9j34<72-82:79=;o0:1?6<3`=;6=4+284937=i:0?1=65f6g83>!4>>3=97c<65;08?l0b290/>485739m6<3=;21b:i4?:%0:2?153g8297:4;h4`>5<#:0<1;?5a28791>=n>k0;6)<66;51?k4>=3<07d8n:18'6<0=?;1e>4;57:9j2<<72-82:79=;o0:1?><3`<36=4+284937=i:0?1565f6783>!4>>3=97c<65;c8?l02290/>485739m6<3=j21b:94?:%0:2?153g8297m4;h40>5<#:0<1;?5a2879`>=n>;0;6)<66;51?k4>=3o07d8>:18'6<0=?;1e>4;5f:9j25<72-82:79=;o0:1?7732c>j7>5$3;5>2465<#:0<1;?5a287957=h51<0:?65f7883>!4>>3=97c<65;37?>o003:1(?79:608j7?228?07d98:18'6<0=?;1e>4;51798m20=83.95;482:l1=0<6?21b;84?:%0:2?153g8297?7;:k40?6=,;3=6:<4n3;6>4?<3`=86=4+284937=i:0?1=l54i7594?"51?0<>6`=9482f>=n=j0;6)<66;51?k4>=3;h76g:b;29 7?12>80b?7::0f8?j4?k3:1(?79:3:g?k4>=3:07b<7b;29 7?12;2o7c<65;38?j4?i3:1(?79:3:g?k4>=3807b<79;29 7?12;2o7c<65;18?j4?03:1(?79:3:g?k4>=3>07b<77;29 7?12;2o7c<65;78?j4?>3:1(?79:3:g?k4>=3<07b<75;29 7?12;2o7c<65;58?j4?;3:1(?79:3:g?k4>=3207b<72;29 7?12;2o7c<65;;8?j4?93:1(?79:3:g?k4>=3k07b<70;29 7?12;2o7c<65;`8?j40n3:1(?79:3:g?k4>=3i07b<8e;29 7?12;2o7c<65;f8?j40l3:1(?79:3:g?k4>=3o07b<8c;29 7?12;2o7c<65;d8?j40j3:1(?79:3:g?k4>=3;;76a=7`83>!4>>383h6`=94825>=h:>21<7*=97815<#:0<1>5j4n3;6>45<3f8<:7>5$3;5>7>c3g8297?;;:m130<72-82:7<7d:l1=0<6=21d>::50;&1=3<50m1e>4;51798k714290/>48529f8j7?228=07b<82;29 7?12;2o7c<65;3;?>i5?80;6)<66;0;`>h51<0:565`26294?"51?094i5a28795d=6d:9l63d=83.95;4=8e9m6<3=9l10c?8n:18'6<0=:1n0b?7::0d8?j4113:1(?79:3:g?k4>=38;76a=6983>!4>>383h6`=94815>=h:?=1<7*=9781?54o345>5<#:0<1>5j4n3;6>75<3f8=97>5$3;5>7>c3g8297<;;:m121<72-82:7<7d:l1=0<5=21d>4<50;&1=3<50m1e>4;52798k7?6290/>48529f8j7?22;=07b<60;29 7?12;2o7c<65;0;?>i50o0;6)<66;0;`>h51<09565`29g94?"51?094i5a28796d=6?l4;n04=?6=,;3=6?6k;o0:1?4d32e9:h4?:%0:2?4?l2d9584=d:9l635=83.95;4=8e9m6<3=:l10c?8=:18'6<0=:1n0b?7::3d8?l?d290/>4859e9m6<3=821b5o4?:%0:2??c3g8297?4;h;b>5<#:0<15i5a28796>=n100;6)<66;;g?k4>=3907d77:18'6<0=1m1e>4;54:9j=2<72-82:77k;o0:1?3<3`3=6=4+2849=a=i:0?1:65f9483>!4>>33o7c<65;58?l?3290/>4859e9m6<3=021b5>4?:%0:2??c3g829774;h;2>5<#:0<15i5a2879e>=n190;6)<66;;g?k4>=3h07d6i:18'6<0=1m1e>4;5c:9j<`<72-82:77k;o0:1?b<3`2o6=4+2849=a=i:0?1i65f8b83>!4>>33o7c<65;d8?l>e290/>4859e9m6<3=9910e5o50;&1=3<>l2d9584>1:9j<<<72-82:77k;o0:1?7532c347>5$3;5>6<=4;hc7>5<#:0<15i5a287951=h51<0:965fa383>!4>>33o7c<65;35?>of93:1(?79:8f8j7?228=07do?:18'6<0=1m1e>4;51998m<`=83.95;46d:l1=0<6121b5h4?:%0:2??c3g8297?n;:k:6?6=,;3=64j4n3;6>4d<3`2<6=4+2849=a=i:0?1=n54i9494?"51?02h6`=9482`>=n9=31<7*=97820d=i:0?1<65f15:94?"51?0:8l5a28795>=n9==1<7*=97820d=i:0?1>65rb4ab>5<6i80;6=u+2c195<><@;hn7Ej53b87e?272;?1=h44b=:=0847?l:3397d<5;3w/>o851`78jf2=82dhn7>4n9794>h68j0;7ck;:19m520=82d:h<4?;o0:=6=5a1g:94>hdi3:0b<:m:19m5f5=82.9n:4>d39'ga<6=81/==k51848j4?0291/>l7523g8 4ea2:>0(?l7:0g`?!45m380(?l6:0ge?!ed28oo7)i5:o0;66g=a083>>o6=>0;66g>5483>>i6l=0;66g>5883>>o5i<0;66g>d283>>o6=10;66g=a383>>o6=o0;66a>4583>>o51m0;66g>5`83>>i5j=0;66a=3183>>o51o0;66g=9d83>>o6=j0;66g=a283>>o6=?0;66g>5583>>o6>80;66g>5283>>o5i90;66a>f883>>o6=k0;66g>5d83>>o6<<0;66g>4283>>o5i=0;66g>5e83>>o5i?0;66amb;29 7?12ki0b?7::198kgg=83.95;4mc:l1=0<632ei57>5$3;5>ge6?54oc:94?"51?0io6`=9480?>ie?3:1(?79:ca8j7?22=10co850;&1=33=1<7*=978ag>h51<0<76am3;29 7?12ki0b?7::998kg4=83.95;4mc:l1=0<>32ei<7>5$3;5>ge6l54o`d94?"51?0io6`=948a?>ifm3:1(?79:ca8j7?22j10clj50;&1=3`=h51<0m76ana;29 7?12ki0b?7::028?jg>290/>485bb9m6<3=9810cl650;&1=32:9le2<72-82:7ll;o0:1?7432eh?7>5$3;5>ge6<:4;na1>5<#:0<1nn5a287950=h51<0::65`c183>!4>>3hh7c<65;34?>ien3:1(?79:ca8j7?228207blj:18'6<0=jj1e>4;51898kgb=83.95;4mc:l1=0<6i21dn<4?:%0:2?dd3g8297?m;:mb2?6=,;3=6om4n3;6>4e<3fk>6=4+2849ff=i:0?1=i54i0d5>5<#:0<1=k94n3;6>5=6=4+28495c16<54i0d7>5<#:0<1=k94n3;6>7=6>54i0d1>5<#:0<1=k94n3;6>1=6854i0d3>5<#:0<1=k94n3;6>3=6=54i37b>5<#:0<1>8m4n3;6>4=6?54i37;>5<#:0<1>8m4n3;6>6=6954i375>5<#:0<1>8m4n3;6>0=6=4+284960e6;54i377>5<#:0<1>8m4n3;6>2=6554i372>5<#:0<1>8m4n3;6><=6l54i36e>5<#:0<1>8m4n3;6>g=n6=4+284960e6n54i36g>5<#:0<1>8m4n3;6>a=h6=4+284960e6h54i36a>5<#:0<1>8m4n3;6>c=j6=4+284960e6<>4;h07=?6=,;3=6?;l;o0:1?7632c98:4?:%0:2?42k2d9584>2:9j610=83.95;4=5b9m6<3=9:10e?:::18'6<0=:=3;>76g=4283>!4>>38>o6`=94822>=n:=81<7*=97811f=i:0?1=:54i362>5<#:0<1>8m4n3;6>4><3`8?<7>5$3;5>73d3g8297?6;:k17c<72-82:7<:c:l1=0<6i21b>>k50;&1=3<5=j1e>4;51c98m75d290/>48524a8j7?228i07d<o5;h0;6)<66;06g>h51<0:i65f22;94?"51?099n5a28795c=6?>4;h003?6=,;3=6?;l;o0:1?4632c9?;4?:%0:2?42k2d9584=2:9j663=83.95;4=5b9m6<3=::10e?=;:18'6<0=:=38>76g=6083>!4>>38>o6`=94812>=n:?:1<7*=97811f=i:0?1>:54i37e>5<#:0<1>8m4n3;6>7><3`8>i7>5$3;5>73d3g8297<6;:k11a<72-82:7<:c:l1=0<5i21b>8=50;&1=3<5=j1e>4;52c98m72?290/>48524a8j7?22;i07d<o5;;0;6)<66;06g>h51<09i65f22394?"51?099n5a28796c=h51<0;76gl7;29 7?12j30b?7::098mf0=83.95;4l9:l1=0<532ch97>5$3;5>f?6>54i01b>5<#:0<1=>l4n3;6>5=6<54i01;>5<#:0<1=>l4n3;6>7=6>54i015>5<#:0<1=>l4n3;6>1=6=4+284956d6854i017>5<#:0<1=>l4n3;6>3=6:54i012>5<#:0<1=>l4n3;6>==6454i00e>5<#:0<1=>l4n3;6>d=6o54i00g>5<#:0<1=>l4n3;6>f=6i54i00a>5<#:0<1=>l4n3;6>`=6k54i00:>5<#:0<1=>l4n3;6>46<3`;947>5$3;5>45e3g8297?>;:k263<72-82:7?4;51298m443290/>48512`8j7?228>07d?=3;29 7?1289i7c<65;36?>o6:;0;6)<66;30f>h51<0::65f13394?"51?0:?o5a287952=6<64;h32b?6=,;3=6<=m;o0:1?7>32c:=h4?:%0:2?74j2d9584>a:9j54b=83.95;4>3c9m6<3=9k10e=3;o76g>1883>!4>>3;8n6`=9482a>=n9821<7*=97827g=i:0?1=k54i034>5<#:0<1=>l4n3;6>76<3`;::7>5$3;5>45e3g8297<>;:k250<72-82:7?4;52298m474290/>48512`8j7?22;>07d?>2;29 7?1289i7c<65;06?>o6<90;6)<66;30f>h51<09:65f12d94?"51?0:?o5a287962=6?64;h30`?6=,;3=6<=m;o0:1?4>32c:?n4?:%0:2?74j2d9584=a:9j564=83.95;4>3c9m6<3=:k10e<<8:18'6<0=9:h0b?7::3a8?l76k3:1(?79:01a?k4>=38o76g>1083>!4>>3;8n6`=9481a>=n98:1<7*=97827g=i:0?1>k54o06e>5<#:0<1=8>4n3;6>5=n6=4+28495066<54o06g>5<#:0<1=8>4n3;6>7=h6=4+28495066>54i0a2>5<#:0<1=n<4n3;6>5=6<54i0`e>5<#:0<1=n<4n3;6>7=6>54i0`g>5<#:0<1=n<4n3;6>1=h51<0;76gjd;29 7?12ll0b?7::098m`e=83.95;4jf:l1=0<532cnn7>5$3;5>``6>54idc94?"51?0nj6`=9487?>ob13:1(?79:dd8j7?22<10eh650;&1=32=h51<0376gi6;29 7?12ll0b?7::898mc3=83.95;4jf:l1=05$3;5>``6o54ig194?"51?0nj6`=948`?>oa:3:1(?79:dd8j7?22m10ek?50;&1=3c=h51<0:<65fe483>!4>>3om7c<65;32?>oc?3:1(?79:e:8j7?22910ei850;&1=3;:kg1?6=,;3=6i64n3;6>7=1<7*=978g<>h51<0876gk3;29 7?12m20b?7::598ma4=83.95;4k8:l1=0<232co=7>5$3;5>a>6;54ie294?"51?0o46`=9484?>ob83:1(?79:e:8j7?22110eih50;&1=3d=h51<0i76gkc;29 7?12m20b?7::b98mad=83.95;4k8:l1=05$3;5>a>6h54ie;94?"51?0o46`=948e?>odn3:1(?79:e:8j7?228:07dmj:18'6<0=l11e>4;51098k466290/>4851108j7?22910c<>?:18'6<0=9980b?7::098kc`=83.95;4>039m6<3=:21djh4?:%0:2?77:2d9584<;:me`?6=,;3=6<>=;o0:1?2<3flh6=4+28495546854og`94?"51?0:=hnh0;6)<66;336>h51<0<76a>0`83>!4>>3;;>6`=948;?>i6800;6)<66;336>h51<0276a>0983>!4>>3;;>6`=948b?>i68>0;6)<66;336>h51<0i76a>0783>!4>>3;;>6`=948`?>i68<0;6)<66;336>h51<0o76a>0583>!4>>3;;>6`=948f?>i68:0;6)<66;336>h51<0m76ai9;29 7?128:97c<65;33?>ia03:1(?79:021?k4>=3;:76g>8883>!4>>3;3m6`=9483?>o6010;6)<66;3;e>h51<0:76g>8683>!4>>3;3m6`=9481?>o60?0;6)<66;3;e>h51<0876g>8483>!4>>3;3m6`=9487?>o60:0;6)<66;3;e>h51<0>76g>8383>!4>>3;3m6`=9485?>o6080;6)<66;3;e>h51<0<76g>8183>!4>>3;3m6`=948;?>o6?o0;6)<66;3;e>h51<0276g>7d83>!4>>3;3m6`=948b?>o6?m0;6)<66;3;e>h51<0i76g>7b83>!4>>3;3m6`=948`?>o6?k0;6)<66;3;e>h51<0o76g>7`83>!4>>3;3m6`=948f?>o6180;6)<66;3;e>h51<0m76g>9183>!4>>3;3m6`=94824>=n91l1<7*=97825<#:0<1=5o4n3;6>44<3`;3h7>5$3;5>4>f3g8297?<;:k24;51498m4>3290/>48519c8j7?228<07d?89;29 7?1282j7c<65;34?>o6?10;6)<66;3;e>h51<0:465`23794?"51?09>;5a28794>=h:;>1<7*=978163=i:0?1=65`23194?"51?09>;5a28796>=h:;81<7*=978163=i:0?1?65`23394?"51?09>;5a28790>=h:;:1<7*=978163=i:0?1965`20d94?"51?09>;5a28792>=h:8o1<7*=978163=i:0?1;65`20a94?"51?09>;5a2879<>=h:8h1<7*=978163=i:0?1565`20c94?"51?09>;5a2879e>=h:831<7*=978163=i:0?1n65`20:94?"51?09>;5a2879g>=h:8=1<7*=978163=i:0?1h65`20494?"51?09>;5a2879a>=h:8?1<7*=978163=i:0?1j65`20694?"51?09>;5a287955=62d9584>3:9l65`=83.95;4=279m6<3=9=10c?>j:18'6<0=:;<0b?7::078?j47l3:1(?79:305?k4>=3;=76a=0b83>!4>>389:6`=94823>=h:9h1<7*=978163=i:0?1=554o32b>5<#:0<1>?84n3;6>4?<3f8;57>5$3;5>7413g8297?n;:m14=<72-82:7<=6:l1=0<6j21d>=850;&1=3<5:?1e>4;51b98k762290/>4852348j7?228n07bi58:0;6)<66;012>h51<0:j65`21094?"51?09>;5a287965=6??4;n034?6=,;3=6?<9;o0:1?4532e:jk4?:%0:2?45>2d9584=3:9l5cc=83.95;4=279m6<3=:=10c=38=76a=2`83>!4>>389:6`=94813>=h:;31<7*=978163=i:0?1>554o30;>5<#:0<1>?84n3;6>7?<3f89;7>5$3;5>7413g8297<<50;&1=3<5:?1e>4;52b98k760290/>4852348j7?22;n07b?ic;29 7?12;8=7c<65;0f?>i6nk0;6)<66;012>h51<09j65f7083>!4>>3=97c<65;28?l17290/>485739m6<3=921b:k4?:%0:2?153g8297<4;h4f>5<#:0<1;?5a28797>=n>m0;6)<66;51?k4>=3>07d8l:18'6<0=?;1e>4;55:9j2g<72-82:79=;o0:1?0<3`!4>>3=97c<65;:8?l0?290/>485739m6<3=121b:;4?:%0:2?153g8297o4;h46>5<#:0<1;?5a2879f>=n>=0;6)<66;51?k4>=3i07d8<:18'6<0=?;1e>4;5d:9j27<72-82:79=;o0:1?c<3`<:6=4+284937=i:0?1j65f6183>!4>>3=97c<65;33?>o2n3:1(?79:608j7?228;07d;j:18'6<0=?;1e>4;51398m0b=83.95;482:l1=0<6;21b;44?:%0:2?153g8297?;;:k443<3`=<6=4+284937=i:0?1=;54i6494?"51?0<>6`=94823>=n?<0;6)<66;51?k4>=3;376g84;29 7?12>80b?7::0;8?l14290/>485739m6<3=9h10e;950;&1=3<0:2d9584>b:9j1f<72-82:79=;o0:1?7d32c>n7>5$3;5>2465$3;5>7>c3g8297?4;n0;e?6=,;3=6?6k;o0:1?4<3f8357>5$3;5>7>c3g8297=4;n0;5$3;5>7>c3g8297;4;n0;2?6=,;3=6?6k;o0:1?0<3f8397>5$3;5>7>c3g829794;n0;7?6=,;3=6?6k;o0:1?><3f83>7>5$3;5>7>c3g829774;n0;5?6=,;3=6?6k;o0:1?g<3f83<7>5$3;5>7>c3g8297l4;n04b?6=,;3=6?6k;o0:1?e<3f85$3;5>7>c3g8297j4;n04`?6=,;3=6?6k;o0:1?c<3f85$3;5>7>c3g8297h4;n04f?6=,;3=6?6k;o0:1?7732e9;l4?:%0:2?4?l2d9584>1:9l62>=83.95;4=8e9m6<3=9;10c?98:18'6<0=:1n0b?7::018?j40>3:1(?79:3:g?k4>=3;?76a=7483>!4>>383h6`=94821>=h:>>1<7*=97815<#:0<1>5j4n3;6>41<3f8<>7>5$3;5>7>c3g8297?7;:m134<72-82:7<7d:l1=0<6121d>:>50;&1=3<50m1e>4;51`98k70a290/>48529f8j7?228h07b<9d;29 7?12;2o7c<65;3`?>i5>j0;6)<66;0;`>h51<0:h65`27`94?"51?094i5a28795`=6=38?76a=6583>!4>>383h6`=94811>=h:081<7*=9781;54o3;2>5<#:0<1>5j4n3;6>71<3f82<7>5$3;5>7>c3g8297<7;:m15k50;&1=3<50m1e>4;52`98k7>3290/>48529f8j7?22;h07b<89;29 7?12;2o7c<65;0`?>i5>l0;6)<66;0;`>h51<09h65`27194?"51?094i5a28796`=6?h4;h;`>5<#:0<15i5a28794>=n1k0;6)<66;;g?k4>=3;07d7n:18'6<0=1m1e>4;52:9j=<<72-82:77k;o0:1?5<3`336=4+2849=a=i:0?1865f9683>!4>>33o7c<65;78?l?1290/>4859e9m6<3=>21b584?:%0:2??c3g829794;h;7>5<#:0<15i5a2879<>=n1:0;6)<66;;g?k4>=3307d7>:18'6<0=1m1e>4;5a:9j=5<72-82:77k;o0:1?d<3`2m6=4+2849=a=i:0?1o65f8d83>!4>>33o7c<65;f8?l>c290/>4859e9m6<3=m21b4n4?:%0:2??c3g8297h4;h:a>5<#:0<15i5a287955=h51<0:=65f8883>!4>>33o7c<65;31?>o?03:1(?79:8f8j7?228907do;:18'6<0=1m1e>4;51598md5=83.95;46d:l1=0<6=21bm?4?:%0:2??c3g8297?9;:kb5?6=,;3=64j4n3;6>41<3`k;6=4+2849=a=i:0?1=554i8d94?"51?02h6`=9482=>=n1l0;6)<66;;g?k4>=3;j76g62;29 7?120n0b?7::0`8?l>0290/>4859e9m6<3=9j10e5850;&1=3<>l2d9584>d:9j51?=83.95;4>4`9m6<3=821b=9650;&1=3<64;51:9j511=83.95;4>4`9m6<3=:21vn8m6:182e4<729q/>o=518:8L7db3A8i96T>0e824~542:n1?n4;a;63>73=9l08n7=6:0f961<403;h6??53`817?{#:k<1=l;4nb694>hdj3:0b5;50:l24f<73go?6=5a16494>h6l80;7c<68;28j421291e=k650:l`e?6i6=5a1b194>"5j>0:h?5+ce82=3=#99o1=484n0;4>5=#:h31>?k4$0ae>62<,;h364cc3-8j47?lf:m16c<722c9m<4?::k212<722c:984?::m2`1<722c:944?::k1e0<722c:h>4?::k21=<722c9m?4?::k21c<722e:894?::k1=a<722c:9l4?::m1f1<722e9?=4?::k1=c<722c95h4?::k21f<722c9m>4?::k213<722c:994?::k224<722c:9>4?::k1e5<722e:j44?::k21g<722c:9h4?::k200<722c:8>4?::k1e1<722c:9i4?::k1e3<722ein7>5$3;5>ge6=54occ94?"51?0io6`=9482?>ie13:1(?79:ca8j7?22;10co650;&1=31=h51<0>76am5;29 7?12ki0b?7::798kg2=83.95;4mc:l1=0<032ei?7>5$3;5>ge6554oc094?"51?0io6`=948:?>ie83:1(?79:ca8j7?22h10clh50;&1=3f=h51<0o76anc;29 7?12ki0b?7::d98kdd=83.95;4mc:l1=05$3;5>ge6<>4;nc:>5<#:0<1nn5a287954=h51<0:>65`a683>!4>>3hh7c<65;30?>id;3:1(?79:ca8j7?228>07bm=:18'6<0=jj1e>4;51498kf7=83.95;4mc:l1=0<6>21do=4?:%0:2?dd3g8297?8;:mab?6=,;3=6om4n3;6>4><3fhn6=4+2849ff=i:0?1=454ocf94?"51?0io6`=9482e>=hj80;6)<66;``?k4>=3;i76an6;29 7?12ki0b?7::0a8?jg2290/>485bb9m6<3=9m10e4851g58j7?22810e4851g58j7?22:10e4851g58j7?22<10e48524a8j7?22910e?;n:18'6<0=:290/>48524a8j7?22;10e?;7:18'6<0=:48524a8j7?22=10e?;9:18'6<0=:48524a8j7?22?10e?;;:18'6<0=:48524a8j7?22110e?;>:18'6<0=:48524a8j7?22h10e?:i:18'6<0=:48524a8j7?22j10e?:k:18'6<0=:48524a8j7?22l10e?:m:18'6<0=:48524a8j7?228:07d<;9;29 7?12;?h7c<65;32?>o5<>0;6)<66;06g>h51<0:>65f25494?"51?099n5a287956=>6=4+284960e6<:4;h070?6=,;3=6?;l;o0:1?7232c98>4?:%0:2?42k2d9584>6:9j614=83.95;4=5b9m6<3=9>10e?:>:18'6<0=:=3;276g=3g83>!4>>38>o6`=9482e>=n::o1<7*=97811f=i:0?1=o54i31`>5<#:0<1>8m4n3;6>4e<3`88n7>5$3;5>73d3g8297?k;:k17d<72-82:7<:c:l1=0<6m21b>>750;&1=3<5=j1e>4;51g98m75?290/>48524a8j7?22;:07d<<7;29 7?12;?h7c<65;02?>o5;?0;6)<66;06g>h51<09>65f22794?"51?099n5a287966=6?:4;h007?6=,;3=6?;l;o0:1?4232c9:<4?:%0:2?42k2d9584=6:9j636=83.95;4=5b9m6<3=:>10e?;i:18'6<0=:=38276g=5e83>!4>>38>o6`=9481e>=n:<91<7*=97811f=i:0?1>o54i36;>5<#:0<1>8m4n3;6>7e<3`88h7>5$3;5>73d3g8297>?50;&1=3<5=j1e>4;52g98mf>=83.95;4l9:l1=0<732ch;7>5$3;5>f?6<54ib494?"51?0h56`=9481?>od=3:1(?79:b;8j7?22:10e<=n:18'6<0=9:h0b?7::198m45>290/>48512`8j7?22810e<=7:18'6<0=9:h0b?7::398m450290/>48512`8j7?22:10e<=9:18'6<0=9:h0b?7::598m452290/>48512`8j7?22<10e<=;:18'6<0=9:h0b?7::798m454290/>48512`8j7?22>10e<=>:18'6<0=9:h0b?7::998m457290/>48512`8j7?22010e<48512`8j7?22k10e<48512`8j7?22m10e<48512`8j7?22o10e<<6:18'6<0=9:h0b?7::028?l7503:1(?79:01a?k4>=3;:76g>2783>!4>>3;8n6`=94826>=n9;?1<7*=97827g=i:0?1=>54i007>5<#:0<1=>l4n3;6>42<3`;9?7>5$3;5>45e3g8297?:;:k267<72-82:7?21b=??50;&1=3<6;k1e>4;51698m447290/>48512`8j7?228207d?>f;29 7?1289i7c<65;3:?>o69l0;6)<66;30f>h51<0:m65f10f94?"51?0:?o5a28795g=6e:9j54>=83.95;4>3c9m6<3=9o10e3:1(?79:01a?k4>=38:76g>1483>!4>>3;8n6`=94816>=n98>1<7*=97827g=i:0?1>>54i030>5<#:0<1=>l4n3;6>72<3`;:>7>5$3;5>45e3g8297<:;:k205<72-82:7?21b=>h50;&1=3<6;k1e>4;52698m45b290/>48512`8j7?22;207d?o6;j0;6)<66;30f>h51<09m65f12094?"51?0:?o5a28796g=6?m4;h32g?6=,;3=6<=m;o0:1?4c32c:=<4?:%0:2?74j2d9584=e:9j546=83.95;4>3c9m6<3=:o10c<:i:18'6<0=9<:0b?7::198k42b290/>4851428j7?22810c<:k:18'6<0=9<:0b?7::398k42d290/>4851428j7?22:10e:18'6<0=9j80b?7::198m4e7290/>4851b08j7?22810e4851b08j7?22:10e5$3;5>``6<54ida94?"51?0nj6`=9481?>obj3:1(?79:dd8j7?22:10eho50;&1=30=h51<0=76gj7;29 7?12ll0b?7::698mc1=83.95;4jf:l1=05$3;5>``6454ig794?"51?0nj6`=948b?>oa<3:1(?79:dd8j7?22k10ek=50;&1=3a=h51<0n76gi0;29 7?12ll0b?7::g98m`0=83.95;4jf:l1=0<6821bi84?:%0:2?ca3g8297?>;:kg3?6=,;3=6i64n3;6>5=h51<0:76gk5;29 7?12m20b?7::398ma2=83.95;4k8:l1=0<432co?7>5$3;5>a>6954ie094?"51?0o46`=9486?>oc93:1(?79:e:8j7?22?10ei>50;&1=3==h51<0276gke;29 7?12m20b?7::`98mab=83.95;4k8:l1=05$3;5>a>6n54ie`94?"51?0o46`=948g?>oci3:1(?79:e:8j7?22l10ei750;&1=346<3`in6=4+2849`==i:0?1=<54o022>5<#:0<1==<4n3;6>5=6<54ogd94?"51?0:=hnl0;6)<66;336>h51<0876aid;29 7?128:97c<65;68?j`d290/>4851108j7?22<10ckl50;&1=3<68;1e>4;56:9lbd<72-82:7??2:l1=0<032e:32e:<54?:%0:2?77:2d9584n;:m242<72-82:7??2:l1=05$3;5>4653g8297??;:me=;o0:1?7632c:444?:%0:2?7?i2d9584?;:k2<=<72-82:7?7a:l1=0<632c:4:4?:%0:2?7?i2d9584=;:k2<3<72-82:7?7a:l1=0<432c:484?:%0:2?7?i2d9584;;:k2<6<72-82:7?7a:l1=0<232c:4?4?:%0:2?7?i2d95849;:k2<4<72-82:7?7a:l1=0<032c:4=4?:%0:2?7?i2d95847;:k23c<72-82:7?7a:l1=0<>32c:;h4?:%0:2?7?i2d9584n;:k23a<72-82:7?7a:l1=00:9j5=`=83.95;4>8`9m6<3=9810e<6j:18'6<0=91k0b?7::008?l7?l3:1(?79:0:b?k4>=3;876g>8b83>!4>>3;3m6`=94820>=n91h1<7*=97825<#:0<1=5o4n3;6>40<3`;<57>5$3;5>4>f3g8297?8;:k23=<72-82:7?7a:l1=0<6021d>?;50;&1=3<5:?1e>4;50:9l672=83.95;4=279m6<3=921d>?=50;&1=3<5:?1e>4;52:9l674=83.95;4=279m6<3=;21d>??50;&1=3<5:?1e>4;54:9l676=83.95;4=279m6<3==21d>4;56:9l64c=83.95;4=279m6<3=?21d>4;58:9l64d=83.95;4=279m6<3=121d>4;5a:9l64?=83.95;4=279m6<3=j21d><650;&1=3<5:?1e>4;5c:9l641=83.95;4=279m6<3=l21d><850;&1=3<5:?1e>4;5e:9l643=83.95;4=279m6<3=n21d><:50;&1=3<5:?1e>4;51198k774290/>4852348j7?228;07b<>1;29 7?12;8=7c<65;31?>i5990;6)<66;012>h51<0:?65`21d94?"51?09>;5a287951=6<;4;n03`?6=,;3=6?<9;o0:1?7132e92d9584>7:9l65d=83.95;4=279m6<3=9110c?>n:18'6<0=:;<0b?7::0;8?j4713:1(?79:305?k4>=3;j76a=0983>!4>>389:6`=9482f>=h:9<1<7*=978163=i:0?1=n54o326>5<#:0<1>?84n3;6>4b<3f8;87>5$3;5>7413g8297?j;:m146<72-82:7<=6:l1=0<6n21d>=<50;&1=3<5:?1e>4;52198k766290/>4852348j7?22;;07bi6no0;6)<66;012>h51<09?65`1gg94?"51?09>;5a287961=6?;4;n01f?6=,;3=6?<9;o0:1?4132e9>l4?:%0:2?45>2d9584=7:9l67?=83.95;4=279m6<3=:110c?<7:18'6<0=:;<0b?7::3;8?j45?3:1(?79:305?k4>=38j76a=1e83>!4>>389:6`=9481f>=h:881<7*=978163=i:0?1>n54o324>5<#:0<1>?84n3;6>7b<3f;mo7>5$3;5>7413g82974;h53>5<#:0<1;?5a28795>=n>o0;6)<66;51?k4>=3807d8j:18'6<0=?;1e>4;53:9j2a<72-82:79=;o0:1?2<3`!4>>3=97c<65;48?l0f290/>485739m6<3=?21b:44?:%0:2?153g829764;h4;>5<#:0<1;?5a2879=>=n>?0;6)<66;51?k4>=3k07d8::18'6<0=?;1e>4;5b:9j21<72-82:79=;o0:1?e<3`<86=4+284937=i:0?1h65f6383>!4>>3=97c<65;g8?l06290/>485739m6<3=n21b:=4?:%0:2?153g8297??;:k6b?6=,;3=6:<4n3;6>47<3`?n6=4+284937=i:0?1=?54i4f94?"51?0<>6`=94827>=n?00;6)<66;51?k4>=3;?76g88;29 7?12>80b?7::078?l10290/>485739m6<3=9?10e:850;&1=3<0:2d9584>7:9j30<72-82:79=;o0:1?7?32c<87>5$3;5>246<74;h50>5<#:0<1;?5a28795d=h51<0:n65f5b83>!4>>3=97c<65;3`?>o2j3:1(?79:608j7?228n07b<7c;29 7?12;2o7c<65;28?j4?j3:1(?79:3:g?k4>=3;07b<7a;29 7?12;2o7c<65;08?j4?13:1(?79:3:g?k4>=3907b<78;29 7?12;2o7c<65;68?j4??3:1(?79:3:g?k4>=3?07b<76;29 7?12;2o7c<65;48?j4?=3:1(?79:3:g?k4>=3=07b<73;29 7?12;2o7c<65;:8?j4?:3:1(?79:3:g?k4>=3307b<71;29 7?12;2o7c<65;c8?j4?83:1(?79:3:g?k4>=3h07b<8f;29 7?12;2o7c<65;a8?j40m3:1(?79:3:g?k4>=3n07b<8d;29 7?12;2o7c<65;g8?j40k3:1(?79:3:g?k4>=3l07b<8b;29 7?12;2o7c<65;33?>i5?h0;6)<66;0;`>h51<0:=65`26:94?"51?094i5a287957=6<=4;n042?6=,;3=6?6k;o0:1?7332e9;84?:%0:2?4?l2d9584>5:9l622=83.95;4=8e9m6<3=9?10c?9<:18'6<0=:1n0b?7::058?j40:3:1(?79:3:g?k4>=3;376a=7083>!4>>383h6`=9482=>=h:>:1<7*=97815<#:0<1>5j4n3;6>4d<3f8=h7>5$3;5>7>c3g8297?l;:m12f<72-82:7<7d:l1=0<6l21d>;l50;&1=3<50m1e>4;51d98k70f290/>48529f8j7?228l07b<99;29 7?12;2o7c<65;03?>i5>10;6)<66;0;`>h51<09=65`27594?"51?094i5a287967=6?=4;n051?6=,;3=6?6k;o0:1?4332e9:94?:%0:2?4?l2d9584=5:9l6<4=83.95;4=8e9m6<3=:?10c?7>:18'6<0=:1n0b?7::358?j4>83:1(?79:3:g?k4>=38376a=8g83>!4>>383h6`=9481=>=h:1o1<7*=9781l54o3:7>5<#:0<1>5j4n3;6>7d<3f8<57>5$3;5>7>c3g8297;=50;&1=3<50m1e>4;52d98k705290/>48529f8j7?22;l07d7l:18'6<0=1m1e>4;50:9j=g<72-82:77k;o0:1?7<3`3j6=4+2849=a=i:0?1>65f9883>!4>>33o7c<65;18?l??290/>4859e9m6<3=<21b5:4?:%0:2??c3g8297;4;h;5>5<#:0<15i5a28792>=n1<0;6)<66;;g?k4>=3=07d7;:18'6<0=1m1e>4;58:9j=6<72-82:77k;o0:1??<3`3:6=4+2849=a=i:0?1m65f9183>!4>>33o7c<65;`8?l>a290/>4859e9m6<3=k21b4h4?:%0:2??c3g8297j4;h:g>5<#:0<15i5a2879a>=n0j0;6)<66;;g?k4>=3l07d6m:18'6<0=1m1e>4;51198m=g=83.95;46d:l1=0<6921b444?:%0:2??c3g8297?=;:k;45<3`k?6=4+2849=a=i:0?1=954i`194?"51?02h6`=94821>=ni;0;6)<66;;g?k4>=3;=76gn1;29 7?120n0b?7::058?lg7290/>4859e9m6<3=9110e4h50;&1=3<>l2d9584>9:9j=`<72-82:77k;o0:1?7f32c2>7>5$3;5>65<#:0<15i5a28795f=h51<0:h65f15;94?"51?0:8l5a28794>=n9=21<7*=97820d=i:0?1=65f15594?"51?0:8l5a28796>=zj;9?n7>51`394?6|,;h86<77;I0aa>N5j<1Q==j511y07?5c2:i18l4;0;06>4c=;k0857?k:3697=<6k38:6>o5228~ 7d128k>7cm;:19mgg<73g2>6=5a11a94>hb<3:0b<99:19m5a7=82d9554?;o372?62;8n7)?lf;17?!4e03;no6*=2d81?!4e13;nj6*lc;3f`>"5i10:ok5`23d94?=n:h;1<75f14594?=n91<75f28f94?=n91<75f17394?=n9<91<75f2`294?=h9o31<75f14`94?=n9=3:07bln:18'6<0=jj1e>4;51:9lf<<72-82:7ll;o0:1?4<3fh36=4+2849ff=i:0?1?65`b683>!4>>3hh7c<65;68?jd1290/>485bb9m6<3==21dn84?:%0:2?dd3g829784;n`7>5<#:0<1nn5a28793>=hj:0;6)<66;``?k4>=3207bl=:18'6<0=jj1e>4;59:9lf5<72-82:7ll;o0:1?g<3fkm6=4+2849ff=i:0?1n65`ad83>!4>>3hh7c<65;a8?jgc290/>485bb9m6<3=l21dmn4?:%0:2?dd3g8297k4;nca>5<#:0<1nn5a2879b>=hih0;6)<66;``?k4>=3;;76an9;29 7?12ki0b?7::038?jg?290/>485bb9m6<3=9;10cl950;&1=33:9lg6<72-82:7ll;o0:1?7332eh>7>5$3;5>ge6<;4;na2>5<#:0<1nn5a287953=h51<0:;65`bg83>!4>>3hh7c<65;3;?>iem3:1(?79:ca8j7?228307blk:18'6<0=jj1e>4;51`98kg7=83.95;4mc:l1=0<6j21dm;4?:%0:2?dd3g8297?l;:mb1?6=,;3=6om4n3;6>4b<3`;m:7>5$3;5>4`03g8297>4;h3e1?6=,;3=65$3;5>4`03g8297<4;h3e7?6=,;3=67>5$3;5>4`03g8297:4;h3e5?6=,;3=65$3;5>4`03g829784;h06f?6=,;3=6?;l;o0:1?6<3`8>m7>5$3;5>73d3g8297?4;h06=?6=,;3=6?;l;o0:1?4<3`8>47>5$3;5>73d3g8297=4;h063?6=,;3=6?;l;o0:1?2<3`8>:7>5$3;5>73d3g8297;4;h061?6=,;3=6?;l;o0:1?0<3`8>87>5$3;5>73d3g829794;h066?6=,;3=6?;l;o0:1?><3`8>=7>5$3;5>73d3g829774;h064?6=,;3=6?;l;o0:1?g<3`8?j7>5$3;5>73d3g8297l4;h07a?6=,;3=6?;l;o0:1?e<3`8?h7>5$3;5>73d3g8297j4;h07g?6=,;3=6?;l;o0:1?c<3`8?n7>5$3;5>73d3g8297h4;h07e?6=,;3=6?;l;o0:1?7732c9844?:%0:2?42k2d9584>1:9j611=83.95;4=5b9m6<3=9;10e?:9:18'6<0=:=3;?76g=4583>!4>>38>o6`=94821>=n:=91<7*=97811f=i:0?1=;54i361>5<#:0<1>8m4n3;6>41<3`8?=7>5$3;5>73d3g8297?7;:k105<72-82:7<:c:l1=0<6121b>>h50;&1=3<5=j1e>4;51`98m75b290/>48524a8j7?228h07d<o5;k0;6)<66;06g>h51<0:h65f22c94?"51?099n5a28795`=6=38?76g=3283>!4>>38>o6`=94811>=n:?;1<7*=97811f=i:0?1>;54i343>5<#:0<1>8m4n3;6>71<3`8>j7>5$3;5>73d3g8297<7;:k11`<72-82:7<:c:l1=0<5121b>8j50;&1=3<5=j1e>4;52`98m734290/>48524a8j7?22;h07d<;8;29 7?12;?h7c<65;0`?>o5;m0;6)<66;06g>h51<09h65f22094?"51?099n5a28796`=6?h4;ha;>5<#:0<1o45a28794>=nk>0;6)<66;a:?k4>=3;07dm9:18'6<0=k01e>4;52:9jg0<72-82:7m6;o0:1?5<3`;8m7>5$3;5>45e3g8297>4;h30=?6=,;3=6<=m;o0:1?7<3`;847>5$3;5>45e3g8297<4;h303?6=,;3=6<=m;o0:1?5<3`;8:7>5$3;5>45e3g8297:4;h301?6=,;3=6<=m;o0:1?3<3`;887>5$3;5>45e3g829784;h307?6=,;3=6<=m;o0:1?1<3`;8=7>5$3;5>45e3g829764;h304?6=,;3=6<=m;o0:1??<3`;9j7>5$3;5>45e3g8297o4;h31a?6=,;3=6<=m;o0:1?d<3`;9h7>5$3;5>45e3g8297m4;h31g?6=,;3=6<=m;o0:1?b<3`;9n7>5$3;5>45e3g8297k4;h31e?6=,;3=6<=m;o0:1?`<3`;957>5$3;5>45e3g8297??;:k26=<72-82:7?4;51398m442290/>48512`8j7?228907d?=4;29 7?1289i7c<65;37?>o6::0;6)<66;30f>h51<0:965f13094?"51?0:?o5a287953=6<94;h314?6=,;3=6<=m;o0:1?7?32c:=k4?:%0:2?74j2d9584>9:9j54c=83.95;4>3c9m6<3=9h10e=3;h76g>1`83>!4>>3;8n6`=9482`>=n9831<7*=97827g=i:0?1=h54i03;>5<#:0<1=>l4n3;6>4`<3`;:;7>5$3;5>45e3g82974;52398m473290/>48512`8j7?22;907d?>3;29 7?1289i7c<65;07?>o69;0;6)<66;30f>h51<09965f15294?"51?0:?o5a287963=6?94;h30a?6=,;3=6<=m;o0:1?4?32c:?i4?:%0:2?74j2d9584=9:9j56e=83.95;4>3c9m6<3=:h10e<==:18'6<0=9:h0b?7::3`8?l75?3:1(?79:01a?k4>=38h76g>1b83>!4>>3;8n6`=9481`>=n98;1<7*=97827g=i:0?1>h54i033>5<#:0<1=>l4n3;6>7`<3f;?j7>5$3;5>4373g8297>4;n37a?6=,;3=6<;?;o0:1?7<3f;?h7>5$3;5>4373g8297<4;n37g?6=,;3=6<;?;o0:1?5<3`;h=7>5$3;5>4e53g8297>4;h3`4?6=,;3=65$3;5>4e53g8297<4;h3aa?6=,;3=65$3;5>4e53g8297:4;hgf>5<#:0<1ik5a28794>=nmm0;6)<66;ge?k4>=3;07dkl:18'6<0=mo1e>4;52:9jag<72-82:7ki;o0:1?5<3`oj6=4+2849ac=i:0?1865fe883>!4>>3om7c<65;78?lc?290/>485eg9m6<3=>21bi:4?:%0:2?ca3g829794;hd4>5<#:0<1ik5a2879<>=nn?0;6)<66;ge?k4>=3307dh::18'6<0=mo1e>4;5a:9jb1<72-82:7ki;o0:1?d<3`l86=4+2849ac=i:0?1o65ff383>!4>>3om7c<65;f8?l`6290/>485eg9m6<3=m21bj=4?:%0:2?ca3g8297h4;hg5>5<#:0<1ik5a287955=h51<0:=65fd683>!4>>3n37c<65;28?lb1290/>485d99m6<3=921bh84?:%0:2?b?3g8297<4;hf7>5<#:0<1h55a28797>=nl:0;6)<66;f;?k4>=3>07dj=:18'6<0=l11e>4;55:9j`4<72-82:7j7;o0:1?0<3`n;6=4+2849`==i:0?1;65fe183>!4>>3n37c<65;:8?lba290/>485d99m6<3=121bhh4?:%0:2?b?3g8297o4;hfg>5<#:0<1h55a2879f>=nlj0;6)<66;f;?k4>=3i07djm:18'6<0=l11e>4;5d:9j`d<72-82:7j7;o0:1?c<3`n26=4+2849`==i:0?1j65fcg83>!4>>3n37c<65;33?>odm3:1(?79:e:8j7?228;07b??1;29 7?128:97c<65;28?j7783:1(?79:021?k4>=3;07bhi:18'6<0=9980b?7::398kcc=83.95;4>039m6<3=;21dji4?:%0:2?77:2d9584;;:meg?6=,;3=6<>=;o0:1?3<3fli6=4+28495546;54ogc94?"51?0:=h99k1<7*=978247=i:0?1465`11;94?"51?0:=h9921<7*=978247=i:0?1m65`11594?"51?0:=h99<1<7*=978247=i:0?1o65`11794?"51?0:=h99>1<7*=978247=i:0?1i65`11194?"51?0:=hn00;6)<66;336>h51<0:<65`f983>!4>>3;;>6`=94825>=n9131<7*=9782=n91=1<7*=978265f19494?"51?0:4l5a28797>=n91?1<7*=9782=n9181<7*=9782=n91:1<7*=9782=n9>o1<7*=9782=n9>i1<7*=9782=n9>k1<7*=9782=n90:1<7*=97825<#:0<1=5o4n3;6>47<3`;3i7>5$3;5>4>f3g8297?=;:k24;51598m4>e290/>48519c8j7?228?07d?74;29 7?1282j7c<65;35?>o6?00;6)<66;3;e>h51<0:;65f16:94?"51?0:4l5a28795==6=4+28496706=54o307>5<#:0<1>?84n3;6>4=6?54o301>5<#:0<1>?84n3;6>6=6954o303>5<#:0<1>?84n3;6>0=6;54o33f>5<#:0<1>?84n3;6>2=6554o33a>5<#:0<1>?84n3;6><=6l54o33:>5<#:0<1>?84n3;6>g=6n54o334>5<#:0<1>?84n3;6>a=6h54o336>5<#:0<1>?84n3;6>c=6<>4;n027?6=,;3=6?<9;o0:1?7632e9=<4?:%0:2?45>2d9584>2:9l646=83.95;4=279m6<3=9:10c?>i:18'6<0=:;<0b?7::068?j47m3:1(?79:305?k4>=3;>76a=0e83>!4>>389:6`=94822>=h:9i1<7*=978163=i:0?1=:54o32a>5<#:0<1>?84n3;6>4><3f8;m7>5$3;5>7413g8297?6;:m14<<72-82:7<=6:l1=0<6i21d>=650;&1=3<5:?1e>4;51c98k761290/>4852348j7?228i07bi58=0;6)<66;012>h51<0:i65`21194?"51?09>;5a28795c=6?>4;n035?6=,;3=6?<9;o0:1?4632e9<=4?:%0:2?45>2d9584=2:9l5c`=83.95;4=279m6<3=::10c=38>76a=2c83>!4>>389:6`=94812>=h:;k1<7*=978163=i:0?1>:54o30:>5<#:0<1>?84n3;6>7><3f8947>5$3;5>7413g8297<6;:m162<72-82:7<=6:l1=0<5i21d>4;52c98k775290/>4852348j7?22;i07bi6nj0;6)<66;012>h51<09i65`1g`94?"51?09>;5a28796c=;1<7*=97846>h51<0;76g80;29 7?12>80b?7::098m3`=83.95;482:l1=0<532c=i7>5$3;5>246>54i7f94?"51?0<>6`=9487?>o1k3:1(?79:608j7?22<10e;l50;&1=3<0:2d95849;:k5e?6=,;3=6:<4n3;6>2=h51<0376g98;29 7?12>80b?7::898m30=83.95;482:l1=05$3;5>246o54i7694?"51?0<>6`=948`?>o1;3:1(?79:608j7?22m10e;<50;&1=3<0:2d9584j;:k55?6=,;3=6:<4n3;6>c=h51<0:<65f5g83>!4>>3=97c<65;32?>o2m3:1(?79:608j7?228807d;k:18'6<0=?;1e>4;51298m2?=83.95;482:l1=0<6<21b;54?:%0:2?153g8297?:;:k43?6=,;3=6:<4n3;6>40<3`==6=4+284937=i:0?1=:54i6794?"51?0<>6`=9482<>=n?=0;6)<66;51?k4>=3;276g83;29 7?12>80b?7::0c8?l00290/>485739m6<3=9k10e8m50;&1=3<0:2d9584>c:9j1g<72-82:79=;o0:1?7c32e94n4?:%0:2?4?l2d9584?;:m14?:%0:2?4?l2d95847;:m1<7<72-82:7<7d:l1=0<>32e94<4?:%0:2?4?l2d9584n;:m1<5<72-82:7<7d:l1=00:9l62g=83.95;4=8e9m6<3=9810c?97:18'6<0=:1n0b?7::008?j40?3:1(?79:3:g?k4>=3;876a=7783>!4>>383h6`=94820>=h:>?1<7*=97815<#:0<1>5j4n3;6>40<3f85$3;5>7>c3g8297?8;:m137<72-82:7<7d:l1=0<6021d>:?50;&1=3<50m1e>4;51898k717290/>48529f8j7?228k07b<9f;29 7?12;2o7c<65;3a?>i5>m0;6)<66;0;`>h51<0:o65`27a94?"51?094i5a28795a=6=83.95;4=8e9m6<3=:810c?88:18'6<0=:1n0b?7::308?j41>3:1(?79:3:g?k4>=38876a=6483>!4>>383h6`=94810>=h:?>1<7*=9781854o3;1>5<#:0<1>5j4n3;6>70<3f82=7>5$3;5>7>c3g8297<8;:m1=5<72-82:7<7d:l1=0<5021d>5h50;&1=3<50m1e>4;52898k7>b290/>48529f8j7?22;k07b<74;29 7?12;2o7c<65;0a?>i5?00;6)<66;0;`>h51<09o65`27g94?"51?094i5a28796a=6?k4;n056?6=,;3=6?6k;o0:1?4a32c2o7>5$3;5>6=54i8`94?"51?02h6`=9482?>o>i3:1(?79:8f8j7?22;10e4750;&1=3<>l2d9584<;:k:1=h51<0>76g66;29 7?120n0b?7::798m<3=83.95;46d:l1=0<032c287>5$3;5>6554i8194?"51?02h6`=948:?>o>93:1(?79:8f8j7?22h10e4>50;&1=3<>l2d9584m;:k;b?6=,;3=64j4n3;6>f=h51<0o76g7d;29 7?120n0b?7::d98m=e=83.95;46d:l1=05$3;5>6<>4;h:b>5<#:0<15i5a287954=h51<0:>65f8983>!4>>33o7c<65;30?>of<3:1(?79:8f8j7?228>07do<:18'6<0=1m1e>4;51498md4=83.95;46d:l1=0<6>21bm<4?:%0:2??c3g8297?8;:kb4?6=,;3=64j4n3;6>4><3`3m6=4+2849=a=i:0?1=454i8g94?"51?02h6`=9482e>=n1;0;6)<66;;g?k4>=3;i76g77;29 7?120n0b?7::0a8?l>1290/>4859e9m6<3=9m10e<:6:18'6<0=9=k0b?7::198m42?290/>48515c8j7?22810e<:8:18'6<0=9=k0b?7::398yg44:183!4e;3;246F=bd9K6g3t3280`?5d2=k18=4=5;3f>6d=;00:h7<;:2:95f<5939j6?=5}%0a2?7f=2dh87>4nb`94>h?=3:0b<>l:19ma1<73g;<:7>4n0f2>5=i:021<6`>4783?k7a03:0bno50:l20g<73g;h?7>4$3`4>4b53-io6<79;%33a?7>>2d:5:4?;%0b=?45m2.:ok4<4:&1f=<6mj1/>?k52:&1f<<6mo1/on4>ee9'6d>=9jl0c?2900e?o::188m4b42900e<;7:188m7g52900e<;i:188k4232900e?7k:188m43f2900c?l;:188k7572900e?7i:188m7?b2900e<;l:188m7g42900e<;9:188m4332900e<8>:188m4342900e?o?:188k4`>2900e<;m:188m43b2900e<:::188m4242900e?o;:188m43c2900e?o9:188kgd=83.95;4mc:l1=0<732eim7>5$3;5>ge6<54oc;94?"51?0io6`=9481?>ie03:1(?79:ca8j7?22:10co950;&1=30=h51<0=76am4;29 7?12ki0b?7::698kg5=83.95;4mc:l1=07>5$3;5>ge6454oc294?"51?0io6`=948b?>ifn3:1(?79:ca8j7?22k10clk50;&1=3a=h51<0n76anb;29 7?12ki0b?7::g98kdg=83.95;4mc:l1=0<6821dm44?:%0:2?dd3g8297?>;:mb44<3fk<6=4+2849ff=i:0?1=>54ob194?"51?0io6`=94820>=hk;0;6)<66;``?k4>=3;>76al1;29 7?12ki0b?7::048?je7290/>485bb9m6<3=9>10coh50;&1=38:9lf`<72-82:7ll;o0:1?7>32eih7>5$3;5>ge65<#:0<1nn5a28795g=h51<0:o65`a483>!4>>3hh7c<65;3g?>o6n?0;6)<66;3e3>h51<0;76g>f483>!4>>3;m;6`=9482?>o6n=0;6)<66;3e3>h51<0976g>f283>!4>>3;m;6`=9480?>o6n;0;6)<66;3e3>h51<0?76g>f083>!4>>3;m;6`=9486?>o6n90;6)<66;3e3>h51<0=76g=5c83>!4>>38>o6`=9483?>o5=h0;6)<66;06g>h51<0:76g=5883>!4>>38>o6`=9481?>o5=10;6)<66;06g>h51<0876g=5683>!4>>38>o6`=9487?>o5=?0;6)<66;06g>h51<0>76g=5483>!4>>38>o6`=9485?>o5==0;6)<66;06g>h51<0<76g=5383>!4>>38>o6`=948;?>o5=80;6)<66;06g>h51<0276g=5183>!4>>38>o6`=948b?>o5h51<0i76g=4d83>!4>>38>o6`=948`?>o5h51<0o76g=4b83>!4>>38>o6`=948f?>o5h51<0m76g=4`83>!4>>38>o6`=94824>=n:=31<7*=97811f=i:0?1=<54i364>5<#:0<1>8m4n3;6>44<3`8?:7>5$3;5>73d3g8297?<;:k100<72-82:7<:c:l1=0<6<21b>9:50;&1=3<5=j1e>4;51498m724290/>48524a8j7?228<07d<;2;29 7?12;?h7c<65;34?>o5<80;6)<66;06g>h51<0:465f25294?"51?099n5a28795<=6c:9j66d=83.95;4=5b9m6<3=9m10e?=n:18'6<0=:=3;m76g=3983>!4>>38>o6`=94814>=n::=1<7*=97811f=i:0?1><54i315>5<#:0<1>8m4n3;6>74<3`8897>5$3;5>73d3g8297<<;:k171<72-82:7<:c:l1=0<5<21b>>=50;&1=3<5=j1e>4;52498m706290/>48524a8j7?22;<07d<90;29 7?12;?h7c<65;04?>o5=o0;6)<66;06g>h51<09465f24g94?"51?099n5a28796<=6?o4;h067?6=,;3=6?;l;o0:1?4e32c9854?:%0:2?42k2d9584=c:9j66b=83.95;4=5b9m6<3=:m10e?==:18'6<0=:=38m76gl8;29 7?12j30b?7::198mf1=83.95;4l9:l1=0<632ch:7>5$3;5>f?6?54ib794?"51?0h56`=9480?>o6;h0;6)<66;30f>h51<0;76g>3883>!4>>3;8n6`=9482?>o6;10;6)<66;30f>h51<0976g>3683>!4>>3;8n6`=9480?>o6;?0;6)<66;30f>h51<0?76g>3483>!4>>3;8n6`=9486?>o6;=0;6)<66;30f>h51<0=76g>3283>!4>>3;8n6`=9484?>o6;80;6)<66;30f>h51<0376g>3183>!4>>3;8n6`=948:?>o6:o0;6)<66;30f>h51<0j76g>2d83>!4>>3;8n6`=948a?>o6:m0;6)<66;30f>h51<0h76g>2b83>!4>>3;8n6`=948g?>o6:k0;6)<66;30f>h51<0n76g>2`83>!4>>3;8n6`=948e?>o6:00;6)<66;30f>h51<0:<65f13:94?"51?0:?o5a287954=6<<4;h311?6=,;3=6<=m;o0:1?7432c:>94?:%0:2?74j2d9584>4:9j575=83.95;4>3c9m6<3=9<10e<<=:18'6<0=9:h0b?7::048?l7593:1(?79:01a?k4>=3;<76g>2183>!4>>3;8n6`=9482<>=n98l1<7*=97827g=i:0?1=454i03f>5<#:0<1=>l4n3;6>4g<3`;:h7>5$3;5>45e3g8297?m;:k25g<72-82:7?4;51e98m47>290/>48512`8j7?228o07d?>8;29 7?1289i7c<65;3e?>o69>0;6)<66;30f>h51<09<65f10494?"51?0:?o5a287964=6=4+284956d6?<4;h320?6=,;3=6<=m;o0:1?4432c:=>4?:%0:2?74j2d9584=4:9j544=83.95;4>3c9m6<3=:<10e<:?:18'6<0=9:h0b?7::348?l74n3:1(?79:01a?k4>=38<76g>3d83>!4>>3;8n6`=9481<>=n9:n1<7*=97827g=i:0?1>454i01`>5<#:0<1=>l4n3;6>7g<3`;8>7>5$3;5>45e3g82974;52e98m476290/>48512`8j7?22;o07d?>0;29 7?1289i7c<65;0e?>i6h51<0;76a>4d83>!4>>3;><6`=9482?>i6h51<0976a>4b83>!4>>3;><6`=9480?>o6k80;6)<66;3`6>h51<0;76g>c183>!4>>3;h>6`=9482?>o6jo0;6)<66;3`6>h51<0976g>bd83>!4>>3;h>6`=9480?>o6jm0;6)<66;3`6>h51<0?76gje;29 7?12ll0b?7::198m`b=83.95;4jf:l1=0<632cno7>5$3;5>``6?54id`94?"51?0nj6`=9480?>obi3:1(?79:dd8j7?22=10eh750;&1=33=h51<0<76gi7;29 7?12ll0b?7::998mc0=83.95;4jf:l1=0<>32cm97>5$3;5>``6l54ig694?"51?0nj6`=948a?>oa;3:1(?79:dd8j7?22j10ek<50;&1=3`=h51<0m76gj6;29 7?12ll0b?7::028?lc2290/>485eg9m6<3=9810ei950;&1=34=h51<0976gk4;29 7?12m20b?7::298ma5=83.95;4k8:l1=0<332co>7>5$3;5>a>6854ie394?"51?0o46`=9485?>oc83:1(?79:e:8j7?22>10eh>50;&1=3<=h51<0j76gkd;29 7?12m20b?7::c98mae=83.95;4k8:l1=05$3;5>a>6i54iec94?"51?0o46`=948f?>oc13:1(?79:e:8j7?22o10enh50;&1=30:9jg`<72-82:7j7;o0:1?7632e:<<4?:%0:2?77:2d9584?;:m245<72-82:7??2:l1=0<632emj7>5$3;5>4653g8297<4;ndf>5<#:0<1==<4n3;6>6=!4>>3;;>6`=9486?>iaj3:1(?79:021?k4>=3<07bhn:18'6<0=9980b?7::698k46f290/>4851108j7?22110c<>6:18'6<0=9980b?7::898k46?290/>4851108j7?22h10c<>8:18'6<0=9980b?7::c98k461290/>4851108j7?22j10c<>::18'6<0=9980b?7::e98k463290/>4851108j7?22l10c<><:18'6<0=9980b?7::g98kc?=83.95;4>039m6<3=9910ck650;&1=3<68;1e>4;51098m4>>290/>48519c8j7?22910e<67:18'6<0=91k0b?7::098m4>0290/>48519c8j7?22;10e<69:18'6<0=91k0b?7::298m4>2290/>48519c8j7?22=10e<6<:18'6<0=91k0b?7::498m4>5290/>48519c8j7?22?10e<6>:18'6<0=91k0b?7::698m4>7290/>48519c8j7?22110e<9i:18'6<0=91k0b?7::898m41b290/>48519c8j7?22h10e<9k:18'6<0=91k0b?7::c98m41d290/>48519c8j7?22j10e<9m:18'6<0=91k0b?7::e98m41f290/>48519c8j7?22l10e<7>:18'6<0=91k0b?7::g98m4?7290/>48519c8j7?228:07d?7f;29 7?1282j7c<65;32?>o60l0;6)<66;3;e>h51<0:>65f19f94?"51?0:4l5a287956=6<:4;h3;f?6=,;3=6<6n;o0:1?7232c:494?:%0:2?7?i2d9584>6:9j52?=83.95;4>8`9m6<3=9>10e<97:18'6<0=91k0b?7::0:8?j45=3:1(?79:305?k4>=3:07b<=4;29 7?12;8=7c<65;38?j45;3:1(?79:305?k4>=3807b<=2;29 7?12;8=7c<65;18?j4593:1(?79:305?k4>=3>07b<=0;29 7?12;8=7c<65;78?j46n3:1(?79:305?k4>=3<07b<>e;29 7?12;8=7c<65;58?j46k3:1(?79:305?k4>=3207b<>b;29 7?12;8=7c<65;;8?j46i3:1(?79:305?k4>=3k07b<>9;29 7?12;8=7c<65;`8?j4603:1(?79:305?k4>=3i07b<>7;29 7?12;8=7c<65;f8?j46>3:1(?79:305?k4>=3o07b<>5;29 7?12;8=7c<65;d8?j46<3:1(?79:305?k4>=3;;76a=1283>!4>>389:6`=94825>=h:8;1<7*=978163=i:0?1=?54o333>5<#:0<1>?84n3;6>45<3f8;j7>5$3;5>7413g8297?;;:m14`<72-82:7<=6:l1=0<6=21d>=j50;&1=3<5:?1e>4;51798k76d290/>4852348j7?228=07bi58h0;6)<66;012>h51<0:565`21;94?"51?09>;5a28795d=62d9584>d:9l652=83.95;4=279m6<3=9l10c?><:18'6<0=:;<0b?7::0d8?j47:3:1(?79:305?k4>=38;76a=0083>!4>>389:6`=94815>=h:9:1<7*=978163=i:0?1>?54o0de>5<#:0<1>?84n3;6>75<3f;mi7>5$3;5>7413g8297<;;:m2ba<72-82:7<=6:l1=0<5=21d>?l50;&1=3<5:?1e>4;52798k74f290/>4852348j7?22;=07b<=9;29 7?12;8=7c<65;0;?>i5:10;6)<66;012>h51<09565`23594?"51?09>;5a28796d=6?l4;n026?6=,;3=6?<9;o0:1?4d32e9<:4?:%0:2?45>2d9584=d:9l5ce=83.95;4=279m6<3=:l10c485739m6<3=821b;=4?:%0:2?153g8297?4;h4e>5<#:0<1;?5a28796>=n>l0;6)<66;51?k4>=3907d8k:18'6<0=?;1e>4;54:9j2f<72-82:79=;o0:1?3<3`!4>>3=97c<65;58?l0>290/>485739m6<3=021b:54?:%0:2?153g829774;h45>5<#:0<1;?5a2879e>=n><0;6)<66;51?k4>=3h07d8;:18'6<0=?;1e>4;5c:9j26<72-82:79=;o0:1?b<3`<96=4+284937=i:0?1i65f6083>!4>>3=97c<65;d8?l07290/>485739m6<3=9910e8h50;&1=3<0:2d9584>1:9j1`<72-82:79=;o0:1?7532c>h7>5$3;5>246<=4;h5:>5<#:0<1;?5a287951=21<7*=97846>h51<0:965f7683>!4>>3=97c<65;35?>o0>3:1(?79:608j7?228=07d9::18'6<0=?;1e>4;51998m22=83.95;482:l1=0<6121b;>4?:%0:2?153g8297?n;:k53?6=,;3=6:<4n3;6>4d<3`?h6=4+284937=i:0?1=n54i4`94?"51?0<>6`=9482`>=h:1i1<7*=9781=h:1k1<7*=978165`29;94?"51?094i5a28797>=h:121<7*=9781=h:1<1<7*=9781=h:191<7*=9781=h:1;1<7*=9781=h:>l1<7*=9781=h:>n1<7*=9781=h:>h1<7*=97815<#:0<1>5j4n3;6>47<3f8<47>5$3;5>7>c3g8297?=;:m132<72-82:7<7d:l1=0<6;21d>:850;&1=3<50m1e>4;51598k712290/>48529f8j7?228?07b<84;29 7?12;2o7c<65;35?>i5?:0;6)<66;0;`>h51<0:;65`26094?"51?094i5a28795==6<74;n044?6=,;3=6?6k;o0:1?7f32e9:k4?:%0:2?4?l2d9584>b:9l63b=83.95;4=8e9m6<3=9j10c?8l:18'6<0=:1n0b?7::0f8?j41j3:1(?79:3:g?k4>=3;n76a=6`83>!4>>383h6`=9482b>=h:?31<7*=9781=54o34;>5<#:0<1>5j4n3;6>77<3f8=;7>5$3;5>7>c3g8297<=;:m123<72-82:7<7d:l1=0<5;21d>;;50;&1=3<50m1e>4;52598k703290/>48529f8j7?22;?07b<62;29 7?12;2o7c<65;05?>i5180;6)<66;0;`>h51<09;65`28294?"51?094i5a28796==6?74;n0;a?6=,;3=6?6k;o0:1?4f32e9494?:%0:2?4?l2d9584=b:9l62?=83.95;4=8e9m6<3=:j10c?8j:18'6<0=:1n0b?7::3f8?j41;3:1(?79:3:g?k4>=38n76a=6383>!4>>383h6`=9481b>=n1j0;6)<66;;g?k4>=3:07d7m:18'6<0=1m1e>4;51:9j=d<72-82:77k;o0:1?4<3`326=4+2849=a=i:0?1?65f9983>!4>>33o7c<65;68?l?0290/>4859e9m6<3==21b5;4?:%0:2??c3g829784;h;6>5<#:0<15i5a28793>=n1=0;6)<66;;g?k4>=3207d7<:18'6<0=1m1e>4;59:9j=4<72-82:77k;o0:1?g<3`3;6=4+2849=a=i:0?1n65f8g83>!4>>33o7c<65;a8?l>b290/>4859e9m6<3=l21b4i4?:%0:2??c3g8297k4;h:`>5<#:0<15i5a2879b>=n0k0;6)<66;;g?k4>=3;;76g7a;29 7?120n0b?7::038?l>>290/>4859e9m6<3=9;10e5650;&1=3<>l2d9584>3:9je1<72-82:77k;o0:1?7332cj?7>5$3;5>6<;4;hc1>5<#:0<15i5a287953=h51<0:;65fa183>!4>>33o7c<65;3;?>o>n3:1(?79:8f8j7?228307d7j:18'6<0=1m1e>4;51`98m<4=83.95;46d:l1=0<6j21b4:4?:%0:2??c3g8297?l;:k;2?6=,;3=64j4n3;6>4b<3`;?57>5$3;5>42f3g8297>4;h375$3;5>42f3g8297<4;|`167d=83;j=7>50z&1f6<6111C>ok4H3`6?_77l3;;w>=53e80g?2f2=:1>84>e;1a>6?=9m0987=7:0a964<4i3886p*=b782e0=ik=0;7cmm:19m<0<73g;;o7>4nd694>h6??0;7c?k1;28j7??291e=9850:l2b=<73gij6=5a15`94>h6k:0;7)"dl3;>=6*>0d82=3=i90=1<6*=a8816`=#9jl1?95+2c:95`e<,;8n6?5+2c;95``<,ji1=hj4$3c;>4ea3f89j7>5;h0b5?6=3`;>;7>5;h361?6=3f;o87>5;h36=?6=3`8j97>5;h3g7?6=3`;>47>5;h0b6?6=3`;>j7>5;n370?6=3`82h7>5;h36e?6=3f8i87>5;n004?6=3`82j7>5;h0:a?6=3`;>o7>5;h0b7?6=3`;>:7>5;h360?6=3`;==7>5;h367?6=3`8j<7>5;n3e=?6=3`;>n7>5;h36a?6=3`;?97>5;h377?6=3`8j87>5;h36`?6=3`8j:7>5;n`a>5<#:0<1nn5a28794>=hjh0;6)<66;``?k4>=3;07bl6:18'6<0=jj1e>4;52:9lf=<72-82:7ll;o0:1?5<3fh<6=4+2849ff=i:0?1865`b783>!4>>3hh7c<65;78?jd2290/>485bb9m6<3=>21dn94?:%0:2?dd3g829794;n`0>5<#:0<1nn5a2879<>=hj;0;6)<66;``?k4>=3307bl?:18'6<0=jj1e>4;5a:9lec<72-82:7ll;o0:1?d<3fkn6=4+2849ff=i:0?1o65`ae83>!4>>3hh7c<65;f8?jgd290/>485bb9m6<3=m21dmo4?:%0:2?dd3g8297h4;ncb>5<#:0<1nn5a287955=h51<0:=65`a983>!4>>3hh7c<65;31?>if?3:1(?79:ca8j7?228907bm<:18'6<0=jj1e>4;51598kf4=83.95;4mc:l1=0<6=21do<4?:%0:2?dd3g8297?9;:m`4?6=,;3=6om4n3;6>41<3fhm6=4+2849ff=i:0?1=554ocg94?"51?0io6`=9482=>=hjm0;6)<66;``?k4>=3;j76am1;29 7?12ki0b?7::0`8?jg1290/>485bb9m6<3=9j10cl;50;&1=3d:9j5c0=83.95;4>f69m6<3=821b=k;50;&1=3<6n>1e>4;51:9j5c2=83.95;4>f69m6<3=:21b=k=50;&1=3<6n>1e>4;53:9j5c4=83.95;4>f69m6<3=<21b=k?50;&1=3<6n>1e>4;55:9j5c6=83.95;4>f69m6<3=>21b>8l50;&1=3<5=j1e>4;50:9j60g=83.95;4=5b9m6<3=921b>8750;&1=3<5=j1e>4;52:9j60>=83.95;4=5b9m6<3=;21b>8950;&1=3<5=j1e>4;54:9j600=83.95;4=5b9m6<3==21b>8;50;&1=3<5=j1e>4;56:9j602=83.95;4=5b9m6<3=?21b>8<50;&1=3<5=j1e>4;58:9j607=83.95;4=5b9m6<3=121b>8>50;&1=3<5=j1e>4;5a:9j61`=83.95;4=5b9m6<3=j21b>9k50;&1=3<5=j1e>4;5c:9j61b=83.95;4=5b9m6<3=l21b>9m50;&1=3<5=j1e>4;5e:9j61d=83.95;4=5b9m6<3=n21b>9o50;&1=3<5=j1e>4;51198m72>290/>48524a8j7?228;07d<;7;29 7?12;?h7c<65;31?>o5h51<0:?65f25794?"51?099n5a287951=?6=4+284960e6<;4;h077?6=,;3=6?;l;o0:1?7132c98?4?:%0:2?42k2d9584>7:9j617=83.95;4=5b9m6<3=9110e?:?:18'6<0=:=3;j76g=3d83>!4>>38>o6`=9482f>=n::i1<7*=97811f=i:0?1=n54i31a>5<#:0<1>8m4n3;6>4b<3`88m7>5$3;5>73d3g8297?j;:k17<<72-82:7<:c:l1=0<6n21b>>650;&1=3<5=j1e>4;52198m750290/>48524a8j7?22;;07d<<6;29 7?12;?h7c<65;01?>o5;<0;6)<66;06g>h51<09?65f22694?"51?099n5a287961=6?;4;h055?6=,;3=6?;l;o0:1?4132c9:=4?:%0:2?42k2d9584=7:9j60`=83.95;4=5b9m6<3=:110e?;j:18'6<0=:=38j76g=5283>!4>>38>o6`=9481f>=n:=21<7*=97811f=i:0?1>n54i31g>5<#:0<1>8m4n3;6>7b<3`88>7>5$3;5>73d3g82973g8297>4;ha4>5<#:0<1o45a28795>=nk?0;6)<66;a:?k4>=3807dm::18'6<0=k01e>4;53:9j56g=83.95;4>3c9m6<3=821b=>750;&1=3<6;k1e>4;51:9j56>=83.95;4>3c9m6<3=:21b=>950;&1=3<6;k1e>4;53:9j560=83.95;4>3c9m6<3=<21b=>;50;&1=3<6;k1e>4;55:9j562=83.95;4>3c9m6<3=>21b=>=50;&1=3<6;k1e>4;57:9j567=83.95;4>3c9m6<3=021b=>>50;&1=3<6;k1e>4;59:9j57`=83.95;4>3c9m6<3=i21b=?k50;&1=3<6;k1e>4;5b:9j57b=83.95;4>3c9m6<3=k21b=?m50;&1=3<6;k1e>4;5d:9j57d=83.95;4>3c9m6<3=m21b=?o50;&1=3<6;k1e>4;5f:9j57?=83.95;4>3c9m6<3=9910e<<7:18'6<0=9:h0b?7::038?l75>3:1(?79:01a?k4>=3;976g>2483>!4>>3;8n6`=94827>=n9;>1<7*=97827g=i:0?1=954i000>5<#:0<1=>l4n3;6>43<3`;9>7>5$3;5>45e3g8297?9;:k264<72-82:7?50;&1=3<6;k1e>4;51998m47a290/>48512`8j7?228307d?>e;29 7?1289i7c<65;3b?>o69m0;6)<66;30f>h51<0:n65f10`94?"51?0:?o5a28795f=6f:9j541=83.95;4>3c9m6<3=:910e=38976g>1583>!4>>3;8n6`=94817>=n9891<7*=97827g=i:0?1>954i031>5<#:0<1=>l4n3;6>73<3`;?<7>5$3;5>45e3g8297<9;:k27c<72-82:7?k50;&1=3<6;k1e>4;52998m45c290/>48512`8j7?22;307d?o6;;0;6)<66;30f>h51<09n65f13594?"51?0:?o5a28796f=6?j4;h325?6=,;3=6<=m;o0:1?4b32c:==4?:%0:2?74j2d9584=f:9l51`=83.95;4>519m6<3=821d=9k50;&1=3<6=91e>4;51:9l51b=83.95;4>519m6<3=:21d=9m50;&1=3<6=91e>4;53:9j5f7=83.95;4>c39m6<3=821b=n>50;&1=3<6k;1e>4;51:9j5g`=83.95;4>c39m6<3=:21b=ok50;&1=3<6k;1e>4;53:9j5gb=83.95;4>c39m6<3=<21bih4?:%0:2?ca3g8297>4;hgg>5<#:0<1ik5a28795>=nmj0;6)<66;ge?k4>=3807dkm:18'6<0=mo1e>4;53:9jad<72-82:7ki;o0:1?2<3`o26=4+2849ac=i:0?1965fe983>!4>>3om7c<65;48?lc0290/>485eg9m6<3=?21bj:4?:%0:2?ca3g829764;hd5>5<#:0<1ik5a2879=>=nn<0;6)<66;ge?k4>=3k07dh;:18'6<0=mo1e>4;5b:9jb6<72-82:7ki;o0:1?e<3`l96=4+2849ac=i:0?1h65ff083>!4>>3om7c<65;g8?l`7290/>485eg9m6<3=n21bi;4?:%0:2?ca3g8297??;:kf1?6=,;3=6hh4n3;6>47<3`n<6=4+2849`==i:0?1<65fd783>!4>>3n37c<65;38?lb2290/>485d99m6<3=:21bh94?:%0:2?b?3g8297=4;hf0>5<#:0<1h55a28790>=nl;0;6)<66;f;?k4>=3?07dj>:18'6<0=l11e>4;56:9j`5<72-82:7j7;o0:1?1<3`o;6=4+2849`==i:0?1465fdg83>!4>>3n37c<65;;8?lbb290/>485d99m6<3=i21bhi4?:%0:2?b?3g8297l4;hf`>5<#:0<1h55a2879g>=nlk0;6)<66;f;?k4>=3n07djn:18'6<0=l11e>4;5e:9j`<<72-82:7j7;o0:1?`<3`im6=4+2849`==i:0?1==54ibg94?"51?0o46`=94825>=h99;1<7*=978247=i:0?1<65`11294?"51?0:=hno0;6)<66;336>h51<0976aie;29 7?128:97c<65;18?j`c290/>4851108j7?22=10ckm50;&1=3<68;1e>4;55:9lbg<72-82:7??2:l1=0<132emm7>5$3;5>4653g829794;n33e?6=,;3=6<>=;o0:1?><3f;;57>5$3;5>4653g829774;n33=;o0:1?g<3f;;;7>5$3;5>4653g8297l4;n332?6=,;3=6<>=;o0:1?e<3f;;97>5$3;5>4653g8297j4;n330?6=,;3=6<>=;o0:1?c<3f;;?7>5$3;5>4653g8297h4;nd:>5<#:0<1==<4n3;6>46<3fl36=4+284955465$3;5>4>f3g8297?4;h3;3?6=,;3=6<6n;o0:1?4<3`;3:7>5$3;5>4>f3g8297=4;h3;1?6=,;3=6<6n;o0:1?2<3`;3?7>5$3;5>4>f3g8297;4;h3;6?6=,;3=6<6n;o0:1?0<3`;3=7>5$3;5>4>f3g829794;h3;4?6=,;3=6<6n;o0:1?><3`;5$3;5>4>f3g829774;h34a?6=,;3=6<6n;o0:1?g<3`;5$3;5>4>f3g8297l4;h34g?6=,;3=6<6n;o0:1?e<3`;5$3;5>4>f3g8297j4;h34e?6=,;3=6<6n;o0:1?c<3`;2=7>5$3;5>4>f3g8297h4;h3:4?6=,;3=6<6n;o0:1?7732c:4k4?:%0:2?7?i2d9584>1:9j5=c=83.95;4>8`9m6<3=9;10e<6k:18'6<0=91k0b?7::018?l7?k3:1(?79:0:b?k4>=3;?76g>8c83>!4>>3;3m6`=94821>=n91>1<7*=97825<#:0<1=5o4n3;6>41<3`;<47>5$3;5>4>f3g8297?7;:m160<72-82:7<=6:l1=0<732e9>94?:%0:2?45>2d9584>;:m166<72-82:7<=6:l1=0<532e9>?4?:%0:2?45>2d9584<;:m164<72-82:7<=6:l1=0<332e9>=4?:%0:2?45>2d9584:;:m15c<72-82:7<=6:l1=0<132e9=h4?:%0:2?45>2d95848;:m15f<72-82:7<=6:l1=02d95846;:m15d<72-82:7<=6:l1=02d9584m;:m15=<72-82:7<=6:l1=02d9584k;:m153<72-82:7<=6:l1=02d9584i;:m151<72-82:7<=6:l1=0<6821d><=50;&1=3<5:?1e>4;51098k776290/>4852348j7?228807b<>0;29 7?12;8=7c<65;30?>i58o0;6)<66;012>h51<0:865`21g94?"51?09>;5a287950=6<84;n03g?6=,;3=6?<9;o0:1?7032e92d9584>8:9l65g=83.95;4=279m6<3=9010c?>6:18'6<0=:;<0b?7::0c8?j4703:1(?79:305?k4>=3;i76a=0783>!4>>389:6`=9482g>=h:9?1<7*=978163=i:0?1=i54o327>5<#:0<1>?84n3;6>4c<3f8;?7>5$3;5>7413g8297?i;:m147<72-82:7<=6:l1=0<5821d>=?50;&1=3<5:?1e>4;52098k767290/>4852348j7?22;807b?if;29 7?12;8=7c<65;00?>i6nl0;6)<66;012>h51<09865`1gf94?"51?09>;5a287960=6?84;n01e?6=,;3=6?<9;o0:1?4032e9>44?:%0:2?45>2d9584=8:9l67>=83.95;4=279m6<3=:010c?<8:18'6<0=:;<0b?7::3c8?j46l3:1(?79:305?k4>=38i76a=1383>!4>>389:6`=9481g>=h:9=1<7*=978163=i:0?1>i54o0d`>5<#:0<1>?84n3;6>7c<3f;mn7>5$3;5>7413g82975=:1<7*=97846>h51<0:76g9f;29 7?12>80b?7::398m3c=83.95;482:l1=0<432c=h7>5$3;5>246954i7a94?"51?0<>6`=9486?>o1j3:1(?79:608j7?22?10e;o50;&1=3<0:2d95848;:k5=?6=,;3=6:<4n3;6>==h51<0276g96;29 7?12>80b?7::`98m33=83.95;482:l1=05$3;5>246n54i7194?"51?0<>6`=948g?>o1:3:1(?79:608j7?22l10e;?50;&1=3<0:2d9584i;:k54?6=,;3=6:<4n3;6>46<3`?m6=4+284937=i:0?1=<54i4g94?"51?0<>6`=94826>=n=m0;6)<66;51?k4>=3;876g89;29 7?12>80b?7::068?l1?290/>485739m6<3=9<10e:950;&1=3<0:2d9584>6:9j33<72-82:79=;o0:1?7032c<97>5$3;5>246<64;h57>5<#:0<1;?5a28795<=91<7*=97846>h51<0:m65f6683>!4>>3=97c<65;3a?>o2k3:1(?79:608j7?228i07d;m:18'6<0=?;1e>4;51e98k7>d290/>48529f8j7?22910c?6m:18'6<0=:1n0b?7::098k7>f290/>48529f8j7?22;10c?66:18'6<0=:1n0b?7::298k7>?290/>48529f8j7?22=10c?68:18'6<0=:1n0b?7::498k7>1290/>48529f8j7?22?10c?6::18'6<0=:1n0b?7::698k7>4290/>48529f8j7?22110c?6=:18'6<0=:1n0b?7::898k7>6290/>48529f8j7?22h10c?6?:18'6<0=:1n0b?7::c98k71a290/>48529f8j7?22j10c?9j:18'6<0=:1n0b?7::e98k71c290/>48529f8j7?22l10c?9l:18'6<0=:1n0b?7::g98k71e290/>48529f8j7?228:07b<8a;29 7?12;2o7c<65;32?>i5?10;6)<66;0;`>h51<0:>65`26594?"51?094i5a287956=6<:4;n041?6=,;3=6?6k;o0:1?7232e9;94?:%0:2?4?l2d9584>6:9l625=83.95;4=8e9m6<3=9>10c?9=:18'6<0=:1n0b?7::0:8?j4093:1(?79:3:g?k4>=3;276a=7183>!4>>383h6`=9482e>=h:?l1<7*=97815<#:0<1>5j4n3;6>4e<3f8=o7>5$3;5>7>c3g8297?k;:m12g<72-82:7<7d:l1=0<6m21d>;o50;&1=3<50m1e>4;51g98k70>290/>48529f8j7?22;:07b<98;29 7?12;2o7c<65;02?>i5>>0;6)<66;0;`>h51<09>65`27494?"51?094i5a287966=6=4+28496=b6?:4;n050?6=,;3=6?6k;o0:1?4232e95?4?:%0:2?4?l2d9584=6:9l6<7=83.95;4=8e9m6<3=:>10c?7?:18'6<0=:1n0b?7::3:8?j4?n3:1(?79:3:g?k4>=38276a=8d83>!4>>383h6`=9481e>=h:1>1<7*=9781o54o35:>5<#:0<1>5j4n3;6>7e<3f8=i7>5$3;5>7>c3g8297;<50;&1=3<50m1e>4;52g98m5$3;5>6<54i8c94?"51?02h6`=9481?>o>13:1(?79:8f8j7?22:10e4650;&1=3<>l2d9584;;:k:3?6=,;3=64j4n3;6>0=h51<0=76g65;29 7?120n0b?7::698m<2=83.95;46d:l1=05$3;5>6454i8394?"51?02h6`=948b?>o>83:1(?79:8f8j7?22k10e5h50;&1=3<>l2d9584l;:k;a?6=,;3=64j4n3;6>a=h51<0n76g7c;29 7?120n0b?7::g98m=d=83.95;46d:l1=0<6821b4l4?:%0:2??c3g8297?>;:k;=?6=,;3=64j4n3;6>44<3`236=4+2849=a=i:0?1=>54i`694?"51?02h6`=94820>=ni:0;6)<66;;g?k4>=3;>76gn2;29 7?120n0b?7::048?lg6290/>4859e9m6<3=9>10el>50;&1=3<>l2d9584>8:9j=c<72-82:77k;o0:1?7>32c2i7>5$3;5>65<#:0<15i5a28795g=h51<0:o65f8783>!4>>33o7c<65;3g?>o6<00;6)<66;37e>h51<0;76g>4983>!4>>3;?m6`=9482?>o6<>0;6)<66;37e>h51<0976sm230b>5<6i80;6=u+2c195<><@;hn7Ej53b87e?272;?1=h44b=:=0847?l:3397d<5;3w/>o851`78jf2=82dhn7>4n9794>h68j0;7ck;:19m520=82d:h<4?;o0:=6=5a1g:94>hdi3:0b<:m:19m5f5=82.9n:4>d39'ga<61?1/==k51848j4?0291/>l7523g8 4ea2:>0(?l7:0g`?!45m380(?l6:0ge?!ed28oo7)i5:o0;66g=a083>>o6=>0;66g>5483>>i6l=0;66g>5883>>o5i<0;66g>d283>>o6=10;66g=a383>>o6=o0;66a>4583>>o51m0;66g>5`83>>i5j=0;66a=3183>>o51o0;66g=9d83>>o6=j0;66g=a283>>o6=?0;66g>5583>>o6>80;66g>5283>>o5i90;66a>f883>>o6=k0;66g>5d83>>o6<<0;66g>4283>>o5i=0;66g>5e83>>o5i?0;66amb;29 7?12ki0b?7::198kgg=83.95;4mc:l1=0<632ei57>5$3;5>ge6?54oc:94?"51?0io6`=9480?>ie?3:1(?79:ca8j7?22=10co850;&1=33=1<7*=978ag>h51<0<76am3;29 7?12ki0b?7::998kg4=83.95;4mc:l1=0<>32ei<7>5$3;5>ge6l54o`d94?"51?0io6`=948a?>ifm3:1(?79:ca8j7?22j10clj50;&1=3`=h51<0m76ana;29 7?12ki0b?7::028?jg>290/>485bb9m6<3=9810cl650;&1=32:9le2<72-82:7ll;o0:1?7432eh?7>5$3;5>ge6<:4;na1>5<#:0<1nn5a287950=h51<0::65`c183>!4>>3hh7c<65;34?>ien3:1(?79:ca8j7?228207blj:18'6<0=jj1e>4;51898kgb=83.95;4mc:l1=0<6i21dn<4?:%0:2?dd3g8297?m;:mb2?6=,;3=6om4n3;6>4e<3fk>6=4+2849ff=i:0?1=i54i0d5>5<#:0<1=k94n3;6>5=6=4+28495c16<54i0d7>5<#:0<1=k94n3;6>7=6>54i0d1>5<#:0<1=k94n3;6>1=6854i0d3>5<#:0<1=k94n3;6>3=6=54i37b>5<#:0<1>8m4n3;6>4=6?54i37;>5<#:0<1>8m4n3;6>6=6954i375>5<#:0<1>8m4n3;6>0=6=4+284960e6;54i377>5<#:0<1>8m4n3;6>2=6554i372>5<#:0<1>8m4n3;6><=6l54i36e>5<#:0<1>8m4n3;6>g=n6=4+284960e6n54i36g>5<#:0<1>8m4n3;6>a=h6=4+284960e6h54i36a>5<#:0<1>8m4n3;6>c=j6=4+284960e6<>4;h07=?6=,;3=6?;l;o0:1?7632c98:4?:%0:2?42k2d9584>2:9j610=83.95;4=5b9m6<3=9:10e?:::18'6<0=:=3;>76g=4283>!4>>38>o6`=94822>=n:=81<7*=97811f=i:0?1=:54i362>5<#:0<1>8m4n3;6>4><3`8?<7>5$3;5>73d3g8297?6;:k17c<72-82:7<:c:l1=0<6i21b>>k50;&1=3<5=j1e>4;51c98m75d290/>48524a8j7?228i07d<o5;h0;6)<66;06g>h51<0:i65f22;94?"51?099n5a28795c=6?>4;h003?6=,;3=6?;l;o0:1?4632c9?;4?:%0:2?42k2d9584=2:9j663=83.95;4=5b9m6<3=::10e?=;:18'6<0=:=38>76g=6083>!4>>38>o6`=94812>=n:?:1<7*=97811f=i:0?1>:54i37e>5<#:0<1>8m4n3;6>7><3`8>i7>5$3;5>73d3g8297<6;:k11a<72-82:7<:c:l1=0<5i21b>8=50;&1=3<5=j1e>4;52c98m72?290/>48524a8j7?22;i07d<o5;;0;6)<66;06g>h51<09i65f22394?"51?099n5a28796c=h51<0;76gl7;29 7?12j30b?7::098mf0=83.95;4l9:l1=0<532ch97>5$3;5>f?6>54i01b>5<#:0<1=>l4n3;6>5=6<54i01;>5<#:0<1=>l4n3;6>7=6>54i015>5<#:0<1=>l4n3;6>1=6=4+284956d6854i017>5<#:0<1=>l4n3;6>3=6:54i012>5<#:0<1=>l4n3;6>==6454i00e>5<#:0<1=>l4n3;6>d=6o54i00g>5<#:0<1=>l4n3;6>f=6i54i00a>5<#:0<1=>l4n3;6>`=6k54i00:>5<#:0<1=>l4n3;6>46<3`;947>5$3;5>45e3g8297?>;:k263<72-82:7?4;51298m443290/>48512`8j7?228>07d?=3;29 7?1289i7c<65;36?>o6:;0;6)<66;30f>h51<0::65f13394?"51?0:?o5a287952=6<64;h32b?6=,;3=6<=m;o0:1?7>32c:=h4?:%0:2?74j2d9584>a:9j54b=83.95;4>3c9m6<3=9k10e=3;o76g>1883>!4>>3;8n6`=9482a>=n9821<7*=97827g=i:0?1=k54i034>5<#:0<1=>l4n3;6>76<3`;::7>5$3;5>45e3g8297<>;:k250<72-82:7?4;52298m474290/>48512`8j7?22;>07d?>2;29 7?1289i7c<65;06?>o6<90;6)<66;30f>h51<09:65f12d94?"51?0:?o5a287962=6?64;h30`?6=,;3=6<=m;o0:1?4>32c:?n4?:%0:2?74j2d9584=a:9j564=83.95;4>3c9m6<3=:k10e<<8:18'6<0=9:h0b?7::3a8?l76k3:1(?79:01a?k4>=38o76g>1083>!4>>3;8n6`=9481a>=n98:1<7*=97827g=i:0?1>k54o06e>5<#:0<1=8>4n3;6>5=n6=4+28495066<54o06g>5<#:0<1=8>4n3;6>7=h6=4+28495066>54i0a2>5<#:0<1=n<4n3;6>5=6<54i0`e>5<#:0<1=n<4n3;6>7=6>54i0`g>5<#:0<1=n<4n3;6>1=h51<0;76gjd;29 7?12ll0b?7::098m`e=83.95;4jf:l1=0<532cnn7>5$3;5>``6>54idc94?"51?0nj6`=9487?>ob13:1(?79:dd8j7?22<10eh650;&1=32=h51<0376gi6;29 7?12ll0b?7::898mc3=83.95;4jf:l1=05$3;5>``6o54ig194?"51?0nj6`=948`?>oa:3:1(?79:dd8j7?22m10ek?50;&1=3c=h51<0:<65fe483>!4>>3om7c<65;32?>oc?3:1(?79:e:8j7?22910ei850;&1=3;:kg1?6=,;3=6i64n3;6>7=1<7*=978g<>h51<0876gk3;29 7?12m20b?7::598ma4=83.95;4k8:l1=0<232co=7>5$3;5>a>6;54ie294?"51?0o46`=9484?>ob83:1(?79:e:8j7?22110eih50;&1=3d=h51<0i76gkc;29 7?12m20b?7::b98mad=83.95;4k8:l1=05$3;5>a>6h54ie;94?"51?0o46`=948e?>odn3:1(?79:e:8j7?228:07dmj:18'6<0=l11e>4;51098k466290/>4851108j7?22910c<>?:18'6<0=9980b?7::098kc`=83.95;4>039m6<3=:21djh4?:%0:2?77:2d9584<;:me`?6=,;3=6<>=;o0:1?2<3flh6=4+28495546854og`94?"51?0:=hnh0;6)<66;336>h51<0<76a>0`83>!4>>3;;>6`=948;?>i6800;6)<66;336>h51<0276a>0983>!4>>3;;>6`=948b?>i68>0;6)<66;336>h51<0i76a>0783>!4>>3;;>6`=948`?>i68<0;6)<66;336>h51<0o76a>0583>!4>>3;;>6`=948f?>i68:0;6)<66;336>h51<0m76ai9;29 7?128:97c<65;33?>ia03:1(?79:021?k4>=3;:76g>8883>!4>>3;3m6`=9483?>o6010;6)<66;3;e>h51<0:76g>8683>!4>>3;3m6`=9481?>o60?0;6)<66;3;e>h51<0876g>8483>!4>>3;3m6`=9487?>o60:0;6)<66;3;e>h51<0>76g>8383>!4>>3;3m6`=9485?>o6080;6)<66;3;e>h51<0<76g>8183>!4>>3;3m6`=948;?>o6?o0;6)<66;3;e>h51<0276g>7d83>!4>>3;3m6`=948b?>o6?m0;6)<66;3;e>h51<0i76g>7b83>!4>>3;3m6`=948`?>o6?k0;6)<66;3;e>h51<0o76g>7`83>!4>>3;3m6`=948f?>o6180;6)<66;3;e>h51<0m76g>9183>!4>>3;3m6`=94824>=n91l1<7*=97825<#:0<1=5o4n3;6>44<3`;3h7>5$3;5>4>f3g8297?<;:k24;51498m4>3290/>48519c8j7?228<07d?89;29 7?1282j7c<65;34?>o6?10;6)<66;3;e>h51<0:465`23794?"51?09>;5a28794>=h:;>1<7*=978163=i:0?1=65`23194?"51?09>;5a28796>=h:;81<7*=978163=i:0?1?65`23394?"51?09>;5a28790>=h:;:1<7*=978163=i:0?1965`20d94?"51?09>;5a28792>=h:8o1<7*=978163=i:0?1;65`20a94?"51?09>;5a2879<>=h:8h1<7*=978163=i:0?1565`20c94?"51?09>;5a2879e>=h:831<7*=978163=i:0?1n65`20:94?"51?09>;5a2879g>=h:8=1<7*=978163=i:0?1h65`20494?"51?09>;5a2879a>=h:8?1<7*=978163=i:0?1j65`20694?"51?09>;5a287955=62d9584>3:9l65`=83.95;4=279m6<3=9=10c?>j:18'6<0=:;<0b?7::078?j47l3:1(?79:305?k4>=3;=76a=0b83>!4>>389:6`=94823>=h:9h1<7*=978163=i:0?1=554o32b>5<#:0<1>?84n3;6>4?<3f8;57>5$3;5>7413g8297?n;:m14=<72-82:7<=6:l1=0<6j21d>=850;&1=3<5:?1e>4;51b98k762290/>4852348j7?228n07bi58:0;6)<66;012>h51<0:j65`21094?"51?09>;5a287965=6??4;n034?6=,;3=6?<9;o0:1?4532e:jk4?:%0:2?45>2d9584=3:9l5cc=83.95;4=279m6<3=:=10c=38=76a=2`83>!4>>389:6`=94813>=h:;31<7*=978163=i:0?1>554o30;>5<#:0<1>?84n3;6>7?<3f89;7>5$3;5>7413g8297<<50;&1=3<5:?1e>4;52b98k760290/>4852348j7?22;n07b?ic;29 7?12;8=7c<65;0f?>i6nk0;6)<66;012>h51<09j65f7083>!4>>3=97c<65;28?l17290/>485739m6<3=921b:k4?:%0:2?153g8297<4;h4f>5<#:0<1;?5a28797>=n>m0;6)<66;51?k4>=3>07d8l:18'6<0=?;1e>4;55:9j2g<72-82:79=;o0:1?0<3`!4>>3=97c<65;:8?l0?290/>485739m6<3=121b:;4?:%0:2?153g8297o4;h46>5<#:0<1;?5a2879f>=n>=0;6)<66;51?k4>=3i07d8<:18'6<0=?;1e>4;5d:9j27<72-82:79=;o0:1?c<3`<:6=4+284937=i:0?1j65f6183>!4>>3=97c<65;33?>o2n3:1(?79:608j7?228;07d;j:18'6<0=?;1e>4;51398m0b=83.95;482:l1=0<6;21b;44?:%0:2?153g8297?;;:k443<3`=<6=4+284937=i:0?1=;54i6494?"51?0<>6`=94823>=n?<0;6)<66;51?k4>=3;376g84;29 7?12>80b?7::0;8?l14290/>485739m6<3=9h10e;950;&1=3<0:2d9584>b:9j1f<72-82:79=;o0:1?7d32c>n7>5$3;5>2465$3;5>7>c3g8297?4;n0;e?6=,;3=6?6k;o0:1?4<3f8357>5$3;5>7>c3g8297=4;n0;5$3;5>7>c3g8297;4;n0;2?6=,;3=6?6k;o0:1?0<3f8397>5$3;5>7>c3g829794;n0;7?6=,;3=6?6k;o0:1?><3f83>7>5$3;5>7>c3g829774;n0;5?6=,;3=6?6k;o0:1?g<3f83<7>5$3;5>7>c3g8297l4;n04b?6=,;3=6?6k;o0:1?e<3f85$3;5>7>c3g8297j4;n04`?6=,;3=6?6k;o0:1?c<3f85$3;5>7>c3g8297h4;n04f?6=,;3=6?6k;o0:1?7732e9;l4?:%0:2?4?l2d9584>1:9l62>=83.95;4=8e9m6<3=9;10c?98:18'6<0=:1n0b?7::018?j40>3:1(?79:3:g?k4>=3;?76a=7483>!4>>383h6`=94821>=h:>>1<7*=97815<#:0<1>5j4n3;6>41<3f8<>7>5$3;5>7>c3g8297?7;:m134<72-82:7<7d:l1=0<6121d>:>50;&1=3<50m1e>4;51`98k70a290/>48529f8j7?228h07b<9d;29 7?12;2o7c<65;3`?>i5>j0;6)<66;0;`>h51<0:h65`27`94?"51?094i5a28795`=6=38?76a=6583>!4>>383h6`=94811>=h:081<7*=9781;54o3;2>5<#:0<1>5j4n3;6>71<3f82<7>5$3;5>7>c3g8297<7;:m15k50;&1=3<50m1e>4;52`98k7>3290/>48529f8j7?22;h07b<89;29 7?12;2o7c<65;0`?>i5>l0;6)<66;0;`>h51<09h65`27194?"51?094i5a28796`=6?h4;h;`>5<#:0<15i5a28794>=n1k0;6)<66;;g?k4>=3;07d7n:18'6<0=1m1e>4;52:9j=<<72-82:77k;o0:1?5<3`336=4+2849=a=i:0?1865f9683>!4>>33o7c<65;78?l?1290/>4859e9m6<3=>21b584?:%0:2??c3g829794;h;7>5<#:0<15i5a2879<>=n1:0;6)<66;;g?k4>=3307d7>:18'6<0=1m1e>4;5a:9j=5<72-82:77k;o0:1?d<3`2m6=4+2849=a=i:0?1o65f8d83>!4>>33o7c<65;f8?l>c290/>4859e9m6<3=m21b4n4?:%0:2??c3g8297h4;h:a>5<#:0<15i5a287955=h51<0:=65f8883>!4>>33o7c<65;31?>o?03:1(?79:8f8j7?228907do;:18'6<0=1m1e>4;51598md5=83.95;46d:l1=0<6=21bm?4?:%0:2??c3g8297?9;:kb5?6=,;3=64j4n3;6>41<3`k;6=4+2849=a=i:0?1=554i8d94?"51?02h6`=9482=>=n1l0;6)<66;;g?k4>=3;j76g62;29 7?120n0b?7::0`8?l>0290/>4859e9m6<3=9j10e5850;&1=3<>l2d9584>d:9j51?=83.95;4>4`9m6<3=821b=9650;&1=3<64;51:9j511=83.95;4>4`9m6<3=:21vn89n:182e4<729q/>o=518:8L7db3A8i96T>0e824~542:n1?n4;a;63>73=9l08n7=6:0f961<403;h6??53`817?{#:k<1=l;4nb694>hdj3:0b5;50:l24f<73go?6=5a16494>h6l80;7c<68;28j421291e=k650:l`e?6i6=5a1b194>"5j>0:h?5+ce8214=#99o1=484n0;4>5=#:h31>?k4$0ae>62<,;h364cc3-8j47?lf:m16c<722c9m<4?::k212<722c:984?::m2`1<722c:944?::k1e0<722c:h>4?::k21=<722c9m?4?::k21c<722e:894?::k1=a<722c:9l4?::m1f1<722e9?=4?::k1=c<722c95h4?::k21f<722c9m>4?::k213<722c:994?::k224<722c:9>4?::k1e5<722e:j44?::k21g<722c:9h4?::k200<722c:8>4?::k1e1<722c:9i4?::k1e3<722ein7>5$3;5>ge6=54occ94?"51?0io6`=9482?>ie13:1(?79:ca8j7?22;10co650;&1=31=h51<0>76am5;29 7?12ki0b?7::798kg2=83.95;4mc:l1=0<032ei?7>5$3;5>ge6554oc094?"51?0io6`=948:?>ie83:1(?79:ca8j7?22h10clh50;&1=3f=h51<0o76anc;29 7?12ki0b?7::d98kdd=83.95;4mc:l1=05$3;5>ge6<>4;nc:>5<#:0<1nn5a287954=h51<0:>65`a683>!4>>3hh7c<65;30?>id;3:1(?79:ca8j7?228>07bm=:18'6<0=jj1e>4;51498kf7=83.95;4mc:l1=0<6>21do=4?:%0:2?dd3g8297?8;:mab?6=,;3=6om4n3;6>4><3fhn6=4+2849ff=i:0?1=454ocf94?"51?0io6`=9482e>=hj80;6)<66;``?k4>=3;i76an6;29 7?12ki0b?7::0a8?jg2290/>485bb9m6<3=9m10e4851g58j7?22810e4851g58j7?22:10e4851g58j7?22<10e48524a8j7?22910e?;n:18'6<0=:290/>48524a8j7?22;10e?;7:18'6<0=:48524a8j7?22=10e?;9:18'6<0=:48524a8j7?22?10e?;;:18'6<0=:48524a8j7?22110e?;>:18'6<0=:48524a8j7?22h10e?:i:18'6<0=:48524a8j7?22j10e?:k:18'6<0=:48524a8j7?22l10e?:m:18'6<0=:48524a8j7?228:07d<;9;29 7?12;?h7c<65;32?>o5<>0;6)<66;06g>h51<0:>65f25494?"51?099n5a287956=>6=4+284960e6<:4;h070?6=,;3=6?;l;o0:1?7232c98>4?:%0:2?42k2d9584>6:9j614=83.95;4=5b9m6<3=9>10e?:>:18'6<0=:=3;276g=3g83>!4>>38>o6`=9482e>=n::o1<7*=97811f=i:0?1=o54i31`>5<#:0<1>8m4n3;6>4e<3`88n7>5$3;5>73d3g8297?k;:k17d<72-82:7<:c:l1=0<6m21b>>750;&1=3<5=j1e>4;51g98m75?290/>48524a8j7?22;:07d<<7;29 7?12;?h7c<65;02?>o5;?0;6)<66;06g>h51<09>65f22794?"51?099n5a287966=6?:4;h007?6=,;3=6?;l;o0:1?4232c9:<4?:%0:2?42k2d9584=6:9j636=83.95;4=5b9m6<3=:>10e?;i:18'6<0=:=38276g=5e83>!4>>38>o6`=9481e>=n:<91<7*=97811f=i:0?1>o54i36;>5<#:0<1>8m4n3;6>7e<3`88h7>5$3;5>73d3g8297>?50;&1=3<5=j1e>4;52g98mf>=83.95;4l9:l1=0<732ch;7>5$3;5>f?6<54ib494?"51?0h56`=9481?>od=3:1(?79:b;8j7?22:10e<=n:18'6<0=9:h0b?7::198m45>290/>48512`8j7?22810e<=7:18'6<0=9:h0b?7::398m450290/>48512`8j7?22:10e<=9:18'6<0=9:h0b?7::598m452290/>48512`8j7?22<10e<=;:18'6<0=9:h0b?7::798m454290/>48512`8j7?22>10e<=>:18'6<0=9:h0b?7::998m457290/>48512`8j7?22010e<48512`8j7?22k10e<48512`8j7?22m10e<48512`8j7?22o10e<<6:18'6<0=9:h0b?7::028?l7503:1(?79:01a?k4>=3;:76g>2783>!4>>3;8n6`=94826>=n9;?1<7*=97827g=i:0?1=>54i007>5<#:0<1=>l4n3;6>42<3`;9?7>5$3;5>45e3g8297?:;:k267<72-82:7?21b=??50;&1=3<6;k1e>4;51698m447290/>48512`8j7?228207d?>f;29 7?1289i7c<65;3:?>o69l0;6)<66;30f>h51<0:m65f10f94?"51?0:?o5a28795g=6e:9j54>=83.95;4>3c9m6<3=9o10e3:1(?79:01a?k4>=38:76g>1483>!4>>3;8n6`=94816>=n98>1<7*=97827g=i:0?1>>54i030>5<#:0<1=>l4n3;6>72<3`;:>7>5$3;5>45e3g8297<:;:k205<72-82:7?21b=>h50;&1=3<6;k1e>4;52698m45b290/>48512`8j7?22;207d?o6;j0;6)<66;30f>h51<09m65f12094?"51?0:?o5a28796g=6?m4;h32g?6=,;3=6<=m;o0:1?4c32c:=<4?:%0:2?74j2d9584=e:9j546=83.95;4>3c9m6<3=:o10c<:i:18'6<0=9<:0b?7::198k42b290/>4851428j7?22810c<:k:18'6<0=9<:0b?7::398k42d290/>4851428j7?22:10e:18'6<0=9j80b?7::198m4e7290/>4851b08j7?22810e4851b08j7?22:10e5$3;5>``6<54ida94?"51?0nj6`=9481?>obj3:1(?79:dd8j7?22:10eho50;&1=30=h51<0=76gj7;29 7?12ll0b?7::698mc1=83.95;4jf:l1=05$3;5>``6454ig794?"51?0nj6`=948b?>oa<3:1(?79:dd8j7?22k10ek=50;&1=3a=h51<0n76gi0;29 7?12ll0b?7::g98m`0=83.95;4jf:l1=0<6821bi84?:%0:2?ca3g8297?>;:kg3?6=,;3=6i64n3;6>5=h51<0:76gk5;29 7?12m20b?7::398ma2=83.95;4k8:l1=0<432co?7>5$3;5>a>6954ie094?"51?0o46`=9486?>oc93:1(?79:e:8j7?22?10ei>50;&1=3==h51<0276gke;29 7?12m20b?7::`98mab=83.95;4k8:l1=05$3;5>a>6n54ie`94?"51?0o46`=948g?>oci3:1(?79:e:8j7?22l10ei750;&1=346<3`in6=4+2849`==i:0?1=<54o022>5<#:0<1==<4n3;6>5=6<54ogd94?"51?0:=hnl0;6)<66;336>h51<0876aid;29 7?128:97c<65;68?j`d290/>4851108j7?22<10ckl50;&1=3<68;1e>4;56:9lbd<72-82:7??2:l1=0<032e:32e:<54?:%0:2?77:2d9584n;:m242<72-82:7??2:l1=05$3;5>4653g8297??;:me=;o0:1?7632c:444?:%0:2?7?i2d9584?;:k2<=<72-82:7?7a:l1=0<632c:4:4?:%0:2?7?i2d9584=;:k2<3<72-82:7?7a:l1=0<432c:484?:%0:2?7?i2d9584;;:k2<6<72-82:7?7a:l1=0<232c:4?4?:%0:2?7?i2d95849;:k2<4<72-82:7?7a:l1=0<032c:4=4?:%0:2?7?i2d95847;:k23c<72-82:7?7a:l1=0<>32c:;h4?:%0:2?7?i2d9584n;:k23a<72-82:7?7a:l1=00:9j5=`=83.95;4>8`9m6<3=9810e<6j:18'6<0=91k0b?7::008?l7?l3:1(?79:0:b?k4>=3;876g>8b83>!4>>3;3m6`=94820>=n91h1<7*=97825<#:0<1=5o4n3;6>40<3`;<57>5$3;5>4>f3g8297?8;:k23=<72-82:7?7a:l1=0<6021d>?;50;&1=3<5:?1e>4;50:9l672=83.95;4=279m6<3=921d>?=50;&1=3<5:?1e>4;52:9l674=83.95;4=279m6<3=;21d>??50;&1=3<5:?1e>4;54:9l676=83.95;4=279m6<3==21d>4;56:9l64c=83.95;4=279m6<3=?21d>4;58:9l64d=83.95;4=279m6<3=121d>4;5a:9l64?=83.95;4=279m6<3=j21d><650;&1=3<5:?1e>4;5c:9l641=83.95;4=279m6<3=l21d><850;&1=3<5:?1e>4;5e:9l643=83.95;4=279m6<3=n21d><:50;&1=3<5:?1e>4;51198k774290/>4852348j7?228;07b<>1;29 7?12;8=7c<65;31?>i5990;6)<66;012>h51<0:?65`21d94?"51?09>;5a287951=6<;4;n03`?6=,;3=6?<9;o0:1?7132e92d9584>7:9l65d=83.95;4=279m6<3=9110c?>n:18'6<0=:;<0b?7::0;8?j4713:1(?79:305?k4>=3;j76a=0983>!4>>389:6`=9482f>=h:9<1<7*=978163=i:0?1=n54o326>5<#:0<1>?84n3;6>4b<3f8;87>5$3;5>7413g8297?j;:m146<72-82:7<=6:l1=0<6n21d>=<50;&1=3<5:?1e>4;52198k766290/>4852348j7?22;;07bi6no0;6)<66;012>h51<09?65`1gg94?"51?09>;5a287961=6?;4;n01f?6=,;3=6?<9;o0:1?4132e9>l4?:%0:2?45>2d9584=7:9l67?=83.95;4=279m6<3=:110c?<7:18'6<0=:;<0b?7::3;8?j45?3:1(?79:305?k4>=38j76a=1e83>!4>>389:6`=9481f>=h:881<7*=978163=i:0?1>n54o324>5<#:0<1>?84n3;6>7b<3f;mo7>5$3;5>7413g82974;h53>5<#:0<1;?5a28795>=n>o0;6)<66;51?k4>=3807d8j:18'6<0=?;1e>4;53:9j2a<72-82:79=;o0:1?2<3`!4>>3=97c<65;48?l0f290/>485739m6<3=?21b:44?:%0:2?153g829764;h4;>5<#:0<1;?5a2879=>=n>?0;6)<66;51?k4>=3k07d8::18'6<0=?;1e>4;5b:9j21<72-82:79=;o0:1?e<3`<86=4+284937=i:0?1h65f6383>!4>>3=97c<65;g8?l06290/>485739m6<3=n21b:=4?:%0:2?153g8297??;:k6b?6=,;3=6:<4n3;6>47<3`?n6=4+284937=i:0?1=?54i4f94?"51?0<>6`=94827>=n?00;6)<66;51?k4>=3;?76g88;29 7?12>80b?7::078?l10290/>485739m6<3=9?10e:850;&1=3<0:2d9584>7:9j30<72-82:79=;o0:1?7?32c<87>5$3;5>246<74;h50>5<#:0<1;?5a28795d=h51<0:n65f5b83>!4>>3=97c<65;3`?>o2j3:1(?79:608j7?228n07b<7c;29 7?12;2o7c<65;28?j4?j3:1(?79:3:g?k4>=3;07b<7a;29 7?12;2o7c<65;08?j4?13:1(?79:3:g?k4>=3907b<78;29 7?12;2o7c<65;68?j4??3:1(?79:3:g?k4>=3?07b<76;29 7?12;2o7c<65;48?j4?=3:1(?79:3:g?k4>=3=07b<73;29 7?12;2o7c<65;:8?j4?:3:1(?79:3:g?k4>=3307b<71;29 7?12;2o7c<65;c8?j4?83:1(?79:3:g?k4>=3h07b<8f;29 7?12;2o7c<65;a8?j40m3:1(?79:3:g?k4>=3n07b<8d;29 7?12;2o7c<65;g8?j40k3:1(?79:3:g?k4>=3l07b<8b;29 7?12;2o7c<65;33?>i5?h0;6)<66;0;`>h51<0:=65`26:94?"51?094i5a287957=6<=4;n042?6=,;3=6?6k;o0:1?7332e9;84?:%0:2?4?l2d9584>5:9l622=83.95;4=8e9m6<3=9?10c?9<:18'6<0=:1n0b?7::058?j40:3:1(?79:3:g?k4>=3;376a=7083>!4>>383h6`=9482=>=h:>:1<7*=97815<#:0<1>5j4n3;6>4d<3f8=h7>5$3;5>7>c3g8297?l;:m12f<72-82:7<7d:l1=0<6l21d>;l50;&1=3<50m1e>4;51d98k70f290/>48529f8j7?228l07b<99;29 7?12;2o7c<65;03?>i5>10;6)<66;0;`>h51<09=65`27594?"51?094i5a287967=6?=4;n051?6=,;3=6?6k;o0:1?4332e9:94?:%0:2?4?l2d9584=5:9l6<4=83.95;4=8e9m6<3=:?10c?7>:18'6<0=:1n0b?7::358?j4>83:1(?79:3:g?k4>=38376a=8g83>!4>>383h6`=9481=>=h:1o1<7*=9781l54o3:7>5<#:0<1>5j4n3;6>7d<3f8<57>5$3;5>7>c3g8297;=50;&1=3<50m1e>4;52d98k705290/>48529f8j7?22;l07d7l:18'6<0=1m1e>4;50:9j=g<72-82:77k;o0:1?7<3`3j6=4+2849=a=i:0?1>65f9883>!4>>33o7c<65;18?l??290/>4859e9m6<3=<21b5:4?:%0:2??c3g8297;4;h;5>5<#:0<15i5a28792>=n1<0;6)<66;;g?k4>=3=07d7;:18'6<0=1m1e>4;58:9j=6<72-82:77k;o0:1??<3`3:6=4+2849=a=i:0?1m65f9183>!4>>33o7c<65;`8?l>a290/>4859e9m6<3=k21b4h4?:%0:2??c3g8297j4;h:g>5<#:0<15i5a2879a>=n0j0;6)<66;;g?k4>=3l07d6m:18'6<0=1m1e>4;51198m=g=83.95;46d:l1=0<6921b444?:%0:2??c3g8297?=;:k;45<3`k?6=4+2849=a=i:0?1=954i`194?"51?02h6`=94821>=ni;0;6)<66;;g?k4>=3;=76gn1;29 7?120n0b?7::058?lg7290/>4859e9m6<3=9110e4h50;&1=3<>l2d9584>9:9j=`<72-82:77k;o0:1?7f32c2>7>5$3;5>65<#:0<15i5a28795f=h51<0:h65f15;94?"51?0:8l5a28794>=n9=21<7*=97820d=i:0?1=65f15594?"51?0:8l5a28796>=zj<=26=4>a083>5}#:k91=464H3`f?M4e=2P:0z10>6b=;j0?m7:?:3795`<4j39260b83?kc3291e=:850:l2`4<73g8247>4n065>5=i9o21<6`la;28j42e291e=n=50:&1f2<6l;1/oi4>979'55c=90<0b<78:19'6d?=:;o0(28om7)ml:0gg?!4f03;hj6a=2g83>>o5i80;66g>5683>>o6=<0;66a>d583>>o6=00;66g=a483>>o6l:0;66g>5983>>o5i;0;66g>5g83>>i6<=0;66g=9e83>>o6=h0;66a=b583>>i5;90;66g=9g83>>o51l0;66g>5b83>>o5i:0;66g>5783>>o6==0;66g>6083>>o6=:0;66g=a183>>i6n00;66g>5c83>>o6=l0;66g>4483>>o6<:0;66g=a583>>o6=m0;66g=a783>>iej3:1(?79:ca8j7?22910coo50;&1=3;:ma=?6=,;3=6om4n3;6>7=h51<0876am7;29 7?12ki0b?7::598kg0=83.95;4mc:l1=0<232ei97>5$3;5>ge6;54oc694?"51?0io6`=9484?>ie;3:1(?79:ca8j7?22110co<50;&1=3d=h51<0i76ane;29 7?12ki0b?7::b98kdb=83.95;4mc:l1=05$3;5>ge6h54o``94?"51?0io6`=948e?>ifi3:1(?79:ca8j7?228:07bo6:18'6<0=jj1e>4;51098kd>=83.95;4mc:l1=0<6:21dm:4?:%0:2?dd3g8297?<;:m`7?6=,;3=6om4n3;6>42<3fi96=4+2849ff=i:0?1=854ob394?"51?0io6`=94822>=hk90;6)<66;``?k4>=3;<76amf;29 7?12ki0b?7::0:8?jdb290/>485bb9m6<3=9010coj50;&1=3a:9lf4<72-82:7ll;o0:1?7e32ej:7>5$3;5>ge65<#:0<1nn5a28795a=6=54i0d6>5<#:0<1=k94n3;6>4=6?54i0d0>5<#:0<1=k94n3;6>6=6954i0d2>5<#:0<1=k94n3;6>0=6;54i37a>5<#:0<1>8m4n3;6>5=6<54i37:>5<#:0<1>8m4n3;6>7=6>54i374>5<#:0<1>8m4n3;6>1=6854i376>5<#:0<1>8m4n3;6>3=6:54i371>5<#:0<1>8m4n3;6>==6454i373>5<#:0<1>8m4n3;6>d=m6=4+284960e6o54i36f>5<#:0<1>8m4n3;6>f=o6=4+284960e6i54i36`>5<#:0<1>8m4n3;6>`=i6=4+284960e6k54i36b>5<#:0<1>8m4n3;6>46<3`8?57>5$3;5>73d3g8297?>;:k102<72-82:7<:c:l1=0<6:21b>9850;&1=3<5=j1e>4;51298m722290/>48524a8j7?228>07d<;4;29 7?12;?h7c<65;36?>o5<:0;6)<66;06g>h51<0::65f25094?"51?099n5a287952=:6=4+284960e6<64;h074?6=,;3=6?;l;o0:1?7>32c9?k4?:%0:2?42k2d9584>a:9j66c=83.95;4=5b9m6<3=9k10e?=l:18'6<0=:=3;o76g=3`83>!4>>38>o6`=9482a>=n::31<7*=97811f=i:0?1=k54i31;>5<#:0<1>8m4n3;6>76<3`88;7>5$3;5>73d3g8297<>;:k173<72-82:7<:c:l1=0<5:21b>>;50;&1=3<5=j1e>4;52298m753290/>48524a8j7?22;>07d<<3;29 7?12;?h7c<65;06?>o5>80;6)<66;06g>h51<09:65f27294?"51?099n5a287962=6?64;h06a?6=,;3=6?;l;o0:1?4>32c99i4?:%0:2?42k2d9584=a:9j605=83.95;4=5b9m6<3=:k10e?:7:18'6<0=:=38o76g=3383>!4>>38>o6`=9481a>=n::;1<7*=97811f=i:0?1>k54ib:94?"51?0h56`=9483?>od?3:1(?79:b;8j7?22810en850;&1=36=6=54i01:>5<#:0<1=>l4n3;6>4=6?54i014>5<#:0<1=>l4n3;6>6=6954i016>5<#:0<1=>l4n3;6>0=6;54i010>5<#:0<1=>l4n3;6>2=6554i013>5<#:0<1=>l4n3;6><=6l54i00f>5<#:0<1=>l4n3;6>g=6n54i00`>5<#:0<1=>l4n3;6>a=6h54i00b>5<#:0<1=>l4n3;6>c=6<>4;h31;4?:%0:2?74j2d9584>2:9j573=83.95;4>3c9m6<3=9:10e<<;:18'6<0=9:h0b?7::068?l75;3:1(?79:01a?k4>=3;>76g>2383>!4>>3;8n6`=94822>=n9;;1<7*=97827g=i:0?1=:54i003>5<#:0<1=>l4n3;6>4><3`;:j7>5$3;5>45e3g8297?6;:k25`<72-82:7?4;51c98m47e290/>48512`8j7?228i07d?>a;29 7?1289i7c<65;3g?>o6900;6)<66;30f>h51<0:i65f10:94?"51?0:?o5a28795c=6?>4;h322?6=,;3=6<=m;o0:1?4632c:=84?:%0:2?74j2d9584=2:9j542=83.95;4>3c9m6<3=::10e=38>76g>4183>!4>>3;8n6`=94812>=n9:l1<7*=97827g=i:0?1>:54i01f>5<#:0<1=>l4n3;6>7><3`;8h7>5$3;5>45e3g8297<6;:k27f<72-82:7?<50;&1=3<6;k1e>4;52c98m440290/>48512`8j7?22;i07d?>c;29 7?1289i7c<65;0g?>o6980;6)<66;30f>h51<09i65f10294?"51?0:?o5a28796c=m6=4+28495066=54o06f>5<#:0<1=8>4n3;6>4=o6=4+28495066?54o06`>5<#:0<1=8>4n3;6>6=6=54i0a3>5<#:0<1=n<4n3;6>4=6?54i0`f>5<#:0<1=n<4n3;6>6=6954idg94?"51?0nj6`=9483?>obl3:1(?79:dd8j7?22810ehm50;&1=36=h51<0?76gj9;29 7?12ll0b?7::498m`>=83.95;4jf:l1=0<132cn;7>5$3;5>``6:54ig594?"51?0nj6`=948;?>oa>3:1(?79:dd8j7?22010ek;50;&1=3g=h51<0h76gi2;29 7?12ll0b?7::e98mc7=83.95;4jf:l1=05$3;5>``6k54id494?"51?0nj6`=94824>=nm<0;6)<66;ge?k4>=3;:76gk7;29 7?12m20b?7::198ma0=83.95;4k8:l1=0<632co97>5$3;5>a>6?54ie694?"51?0o46`=9480?>oc;3:1(?79:e:8j7?22=10ei<50;&1=33=h51<0<76gj0;29 7?12m20b?7::998ma`=83.95;4k8:l1=0<>32coi7>5$3;5>a>6l54ief94?"51?0o46`=948a?>ock3:1(?79:e:8j7?22j10eil50;&1=3`=h51<0m76glf;29 7?12m20b?7::028?leb290/>485d99m6<3=9810c<>>:18'6<0=9980b?7::198k467290/>4851108j7?22810ckh50;&1=3<68;1e>4;52:9lb`<72-82:7??2:l1=0<432emh7>5$3;5>4653g8297:4;nd`>5<#:0<1==<4n3;6>0=!4>>3;;>6`=9484?>i68h0;6)<66;336>h51<0376a>0883>!4>>3;;>6`=948:?>i6810;6)<66;336>h51<0j76a>0683>!4>>3;;>6`=948a?>i68?0;6)<66;336>h51<0h76a>0483>!4>>3;;>6`=948g?>i68=0;6)<66;336>h51<0n76a>0283>!4>>3;;>6`=948e?>ia13:1(?79:021?k4>=3;;76ai8;29 7?128:97c<65;32?>o6000;6)<66;3;e>h51<0;76g>8983>!4>>3;3m6`=9482?>o60>0;6)<66;3;e>h51<0976g>8783>!4>>3;3m6`=9480?>o60<0;6)<66;3;e>h51<0?76g>8283>!4>>3;3m6`=9486?>o60;0;6)<66;3;e>h51<0=76g>8083>!4>>3;3m6`=9484?>o6090;6)<66;3;e>h51<0376g>7g83>!4>>3;3m6`=948:?>o6?l0;6)<66;3;e>h51<0j76g>7e83>!4>>3;3m6`=948a?>o6?j0;6)<66;3;e>h51<0h76g>7c83>!4>>3;3m6`=948g?>o6?h0;6)<66;3;e>h51<0n76g>9083>!4>>3;3m6`=948e?>o6190;6)<66;3;e>h51<0:<65f19d94?"51?0:4l5a287954=6<<4;h3;`?6=,;3=6<6n;o0:1?7432c:4n4?:%0:2?7?i2d9584>4:9j5=d=83.95;4>8`9m6<3=9<10e<6;:18'6<0=91k0b?7::048?l7013:1(?79:0:b?k4>=3;<76g>7983>!4>>3;3m6`=9482<>=h:;?1<7*=978163=i:0?1<65`23694?"51?09>;5a28795>=h:;91<7*=978163=i:0?1>65`23094?"51?09>;5a28797>=h:;;1<7*=978163=i:0?1865`23294?"51?09>;5a28791>=h:8l1<7*=978163=i:0?1:65`20g94?"51?09>;5a28793>=h:8i1<7*=978163=i:0?1465`20`94?"51?09>;5a2879=>=h:8k1<7*=978163=i:0?1m65`20;94?"51?09>;5a2879f>=h:821<7*=978163=i:0?1o65`20594?"51?09>;5a2879`>=h:8<1<7*=978163=i:0?1i65`20794?"51?09>;5a2879b>=h:8>1<7*=978163=i:0?1==54o330>5<#:0<1>?84n3;6>47<3f8:=7>5$3;5>7413g8297?=;:m155<72-82:7<=6:l1=0<6;21d>=h50;&1=3<5:?1e>4;51598k76b290/>4852348j7?228?07bi58j0;6)<66;012>h51<0:;65`21`94?"51?09>;5a28795==6<74;n03=?6=,;3=6?<9;o0:1?7f32e9<54?:%0:2?45>2d9584>b:9l650=83.95;4=279m6<3=9j10c?>::18'6<0=:;<0b?7::0f8?j47<3:1(?79:305?k4>=3;n76a=0283>!4>>389:6`=9482b>=h:981<7*=978163=i:0?1>=54o322>5<#:0<1>?84n3;6>77<3f8;<7>5$3;5>7413g8297<=;:m2bc<72-82:7<=6:l1=0<5;21d=kk50;&1=3<5:?1e>4;52598k4`c290/>4852348j7?22;?07b<=b;29 7?12;8=7c<65;05?>i5:h0;6)<66;012>h51<09;65`23;94?"51?09>;5a28796==6?74;n013?6=,;3=6?<9;o0:1?4f32e9=i4?:%0:2?45>2d9584=b:9l644=83.95;4=279m6<3=:j10c?>8:18'6<0=:;<0b?7::3f8?j7ak3:1(?79:305?k4>=38n76a>fc83>!4>>389:6`=9481b>=n?80;6)<66;51?k4>=3:07d9?:18'6<0=?;1e>4;51:9j2c<72-82:79=;o0:1?4<3`!4>>3=97c<65;68?l0d290/>485739m6<3==21b:o4?:%0:2?153g829784;h4b>5<#:0<1;?5a28793>=n>00;6)<66;51?k4>=3207d87:18'6<0=?;1e>4;59:9j23<72-82:79=;o0:1?g<3`<>6=4+284937=i:0?1n65f6583>!4>>3=97c<65;a8?l04290/>485739m6<3=l21b:?4?:%0:2?153g8297k4;h42>5<#:0<1;?5a2879b>=n>90;6)<66;51?k4>=3;;76g:f;29 7?12>80b?7::038?l3b290/>485739m6<3=9;10e8j50;&1=3<0:2d9584>3:9j3<<72-82:79=;o0:1?7332c<47>5$3;5>246<;4;h54>5<#:0<1;?5a287953=<1<7*=97846>h51<0:;65f7483>!4>>3=97c<65;3;?>o0<3:1(?79:608j7?228307d9<:18'6<0=?;1e>4;51`98m31=83.95;482:l1=0<6j21b9n4?:%0:2?153g8297?l;:k6f?6=,;3=6:<4n3;6>4b<3f83o7>5$3;5>7>c3g8297>4;n0;f?6=,;3=6?6k;o0:1?7<3f83m7>5$3;5>7>c3g8297<4;n0;=?6=,;3=6?6k;o0:1?5<3f8347>5$3;5>7>c3g8297:4;n0;3?6=,;3=6?6k;o0:1?3<3f83:7>5$3;5>7>c3g829784;n0;1?6=,;3=6?6k;o0:1?1<3f83?7>5$3;5>7>c3g829764;n0;6?6=,;3=6?6k;o0:1??<3f83=7>5$3;5>7>c3g8297o4;n0;4?6=,;3=6?6k;o0:1?d<3f85$3;5>7>c3g8297m4;n04a?6=,;3=6?6k;o0:1?b<3f85$3;5>7>c3g8297k4;n04g?6=,;3=6?6k;o0:1?`<3f85$3;5>7>c3g8297??;:m13d<72-82:7<7d:l1=0<6921d>:650;&1=3<50m1e>4;51398k710290/>48529f8j7?228907b<86;29 7?12;2o7c<65;37?>i5?<0;6)<66;0;`>h51<0:965`26694?"51?094i5a287953=6<94;n046?6=,;3=6?6k;o0:1?7?32e9;<4?:%0:2?4?l2d9584>9:9l626=83.95;4=8e9m6<3=9h10c?8i:18'6<0=:1n0b?7::0`8?j41l3:1(?79:3:g?k4>=3;h76a=6b83>!4>>383h6`=9482`>=h:?h1<7*=97815<#:0<1>5j4n3;6>4`<3f8=57>5$3;5>7>c3g8297;950;&1=3<50m1e>4;52398k701290/>48529f8j7?22;907b<95;29 7?12;2o7c<65;07?>i5>=0;6)<66;0;`>h51<09965`28094?"51?094i5a287963=6?94;n0:4?6=,;3=6?6k;o0:1?4?32e94k4?:%0:2?4?l2d9584=9:9l6=c=83.95;4=8e9m6<3=:h10c?6;:18'6<0=:1n0b?7::3`8?j4013:1(?79:3:g?k4>=38h76a=6d83>!4>>383h6`=9481`>=h:?91<7*=9781h54o341>5<#:0<1>5j4n3;6>7`<3`3h6=4+2849=a=i:0?1<65f9c83>!4>>33o7c<65;38?l?f290/>4859e9m6<3=:21b544?:%0:2??c3g8297=4;h;;>5<#:0<15i5a28790>=n1>0;6)<66;;g?k4>=3?07d79:18'6<0=1m1e>4;56:9j=0<72-82:77k;o0:1?1<3`3?6=4+2849=a=i:0?1465f9283>!4>>33o7c<65;;8?l?6290/>4859e9m6<3=i21b5=4?:%0:2??c3g8297l4;h:e>5<#:0<15i5a2879g>=n0l0;6)<66;;g?k4>=3n07d6k:18'6<0=1m1e>4;5e:9j=n000;6)<66;;g?k4>=3;976g78;29 7?120n0b?7::018?lg3290/>4859e9m6<3=9=10el=50;&1=3<>l2d9584>5:9je7<72-82:77k;o0:1?7132cj=7>5$3;5>6<94;hc3>5<#:0<15i5a28795==h51<0:565f9d83>!4>>33o7c<65;3b?>o>:3:1(?79:8f8j7?228h07d68:18'6<0=1m1e>4;51b98m=0=83.95;46d:l1=0<6l21b=9750;&1=3<64;50:9j51>=83.95;4>4`9m6<3=921b=9950;&1=3<64;52:9~f6d729086=4?{%0a7?7di2B9nh5G2c78 4dd2=o0(?78:5f7?l7e>3:17d?m7;29?j7bm3:17pl;6e83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e<=n1<7:50;2x 7d428ii7E5<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?5482c:n;4?::k2f2<722e:ih4?::a03d=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn95<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo:;c;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>o?6g>b783>>o6j>0;66g>b983>>i6ml0;66sm3`g94?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18i<4i0`5>5<5<=m7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`76g<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954e08m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6g4>o6j?0;66g>b683>>i6ml0;66sm47;94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj=8j6=4;:183!4e;3;o96F=bd9K6g35<5<?57>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2c82c:n;4?::k2f2<722c:n54?::m2a`<722wi?ll50;194?6|,;h86N5j<1/=om54d9'6<1=50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl;2883>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm45:94?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18nh4i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f14?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6`a>o6j?0;66g>b683>>o6j10;66a>ed83>>{e;h31<7=50;2x 7d428ij7E5<5;n3fa?6=3th?>:4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi89850;694?6|,;h86N5j<1/=om5589'6<1=4>c`9K6gc<@;h>7)?mc;6f?!4>?3>ho6g>b783>>o6j>0;66a>ed83>>{e5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:lc:k2f3<722c:n:4?::k2f=<722e:ih4?::a7d1=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=4954b`8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd3:<0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e<=>1<7:50;2x 7d428ii7E5<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2di2c:n;4?::k2f2<722e:ih4?::a035=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn9<;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo:;3;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>hm6g>b783>>o6j>0;66g>b983>>i6ml0;66sm3`794?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18n74i0`5>5<5<=>7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`766<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954b;8m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6`<>o6j?0;66g>b683>>i6ml0;66sm47294?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj=8:6=4;:183!4e;3;o96F=bd9K6g35<5<?=7>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2d02c:n;4?::k2f2<722c:n54?::m2a`<722wi?l=50;194?6|,;h86N5j<1/=om54d9'6<1=50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl;2183>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm42d94?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18n94i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f17a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6`1>o6j?0;66g>b683>>o6j10;66a>ed83>>{e;=;1<7=50;2x 7d428ij7E5<5;n3fa?6=3th?=h4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi8>j50;694?6|,;h86N5j<1/=om5589'6<1=0e4>c`9K6gc<@;h>7)?mc;6f?!4>?3>h?6g>b783>>o6j>0;66a>ed83>>{e<5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:l3:k2f3<722c:n:4?::k2f=<722e:ih4?::a76`=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=4954b08m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd39j0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e<:h1<7:50;2x 7d428ii7E5<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2d92c:n;4?::k2f2<722e:ih4?::a00g=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn9?m:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo:4>cc9K6gc<@;h>7)?mc;7:?!4>?3>h=6g>b783>>o6j>0;66g>b983>>i6ml0;66sm32f94?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18n>4i0`5>5<5<>57>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`75d<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954b28m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6ab>o6j?0;66g>b683>>i6ml0;66sm44:94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj=;26=4;:183!4e;3;o96F=bd9K6g35<5<847>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2en2c:n;4?::k2f2<722c:n54?::m2a`<722wi?>l50;194?6|,;h86N5j<1/=om54d9'6<1=50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl;1983>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm42594?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18ok4i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f154290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6a`>o6j?0;66g>b683>>o6j10;66a>ed83>>{e;:31<7=50;2x 7d428ij7E5<5;n3fa?6=3th???4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi88=50;694?6|,;h86N5j<1/=om5589'6<1=4>c`9K6gc<@;h>7)?mc;6f?!4>?3>im6g>b783>>o6j>0;66a>ed83>>{e<>;1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:ma:k2f3<722c:n:4?::k2f=<722e:ih4?::a7g3=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=4954c;8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd3;90;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e<<;1<7:50;2x 7d428ii7E5<5<53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2e02c:n;4?::k2f2<722e:ih4?::a03`=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn95<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo::0;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>i46g>b783>>o6j>0;66g>b983>>i6ml0;66sm3c194?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18o94i0`5>5<5<=i7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`76`<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954c58m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6a2>o6j?0;66g>b683>>i6ml0;66sm47a94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj=8o6=4;:183!4e;3;o96F=bd9K6g35<5<?i7>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2e>2c:n;4?::k2f2<722c:n54?::m2a`<722wi?o?50;194?6|,;h86N5j<1/=om54d9'6<1=50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl;2383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm45`94?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18o;4i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f170290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6a0>o6j?0;66g>b683>>o6j10;66a>ed83>>{e;h81<7=50;2x 7d428ij7E5<5;n3fa?6=3th?=;4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi8>;50;694?6|,;h86N5j<1/=om5589'6<1=4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd4n>0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e>:?1<7:50;2x 7d428ii7E5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`5=7<72<0;6=u+2c195fe<@;hn7E=831b=o750;9l5`c=831vn;=;:187>5<7s-8i?7?lb:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e>>;1<7:50;2x 7d428n>7E1d63`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`577<72=0;6=u+2c195fd<@;hn7E=831d=hk50;9~f3ge29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d0<729q/>o=51ba8L7db3A8i96*>bb86e>"51>0?mk5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj?9:6=4;:183!4e;3;hn6F=bd9K6g3<,8hh68:4$3;4>1gb3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th=ml4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a23`=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f3>b290>6=4?{%0a7?7dk2B9nh5G2c78 4dd23:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl93183>1<729q/>o=51b`8L7db3A8i96*>bb860>"51>0?mi5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb7c:>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th=4i4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:a:&1=2<3im1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f34a290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2<>0(?78:5c`?l7e>3:17d?m7;29?l7e03:17b?je;29?xd1i10;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm67f94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb7:`>5<2290;w)N5jl1C>o;4$0``>0g<,;3<69ol;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th=>h4?:583>5}#:k91=nl4H3`f?M4e=2.:nn4:4:&1=2<3ik1b=o850;9j5g1=831b=o650;9l5`c=831vn;o8:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd10k0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>m6*=9687eg=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb70g>5<3290;w)N5jl1C>o;4$0``>02<,;3<69on;h3a2?6=3`;i;7>5;h3a5;|`5e3<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn;6n:186>5<7s-8i?7?lc:J1f`=O:k?0("6jj0>86*=9687e<=n9k<1<75f1c594?=n9k21<75`1dg94?=zj?k>6=4<:183!4e;38ih6F=bd9K6g35<5;h0b3?6=3f;ni7>5;|`5<<<72<0;6=u+2c195fe<@;hn7E=831b=o750;9l5`c=831vn;5<7s-8i?7?lb:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e>?31<7:50;2x 7d428n>7E1g?3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`56d<72=0;6=u+2c195fd<@;hn7E=831d=hk50;9~f3g429086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d0<729q/>o=51ba8L7db3A8i96*>bb86e>"51>0?m:5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj?826=4;:183!4e;3;hn6F=bd9K6g3<,8hh68:4$3;4>1g13`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th=m?4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a230=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f3>1290>6=4?{%0a7?7dk2B9nh5G2c78 4dd23:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl92683>1<729q/>o=51b`8L7db3A8i96*>bb860>"51>0?m95f1c494?=n9k=1<75f1c:94?=h9lo1<75rb7c2>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th=494?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:a:&1=2<3i=1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f341290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2<>0(?78:5c0?l7e>3:17d?m7;29?l7e03:17b?je;29?xd1i90;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm67694?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb7:0>5<2290;w)N5jl1C>o;4$0``>0g<,;3<69o<;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th=>84?:583>5}#:k91=nl4H3`f?M4e=2.:nn4:4:&1=2<3i;1b=o850;9j5g1=831b=o650;9l5`c=831vn;7i:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd10;0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>m6*=9687e7=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb707>5<3290;w)N5jl1C>o;4$0``>02<,;3<69o>;h3a2?6=3`;i;7>5;h3a5;|`5=`<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn;6>:186>5<7s-8i?7?lc:J1f`=O:k?0("6jj0>86*=9687e5=n9k<1<75f1c594?=n9k21<75`1dg94?=zj?3o6=4<:183!4e;38ih6F=bd9K6g35<5;h0b3?6=3f;ni7>5;|`5<5<72<0;6=u+2c195fe<@;hn7E=831b=o750;9l5`c=831vn;<=:187>5<7s-8i?7?lb:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e>?:1<7:50;2x 7d428n>7E1?a3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`564<72=0;6=u+2c195fd<@;hn7E=831d=hk50;9~f3?e29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d0<729q/>o=51ba8L7db3A8i96*>bb86e>"51>0?5h5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj?8;6=4;:183!4e;3;hn6F=bd9K6g3<,8hh68:4$3;4>1?c3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th=5l4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a20c=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f31c290>6=4?{%0a7?7dk2B9nh5G2c78 4dd23:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl91g83>1<729q/>o=51b`8L7db3A8i96*>bb860>"51>0?5n5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb7;:>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<h7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th=;n4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:a:&1=2<31j1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f37b290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2<>0(?78:5;a?l7e>3:17d?m7;29?l7e03:17b?je;29?xd1j90;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm66:94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb75a>5<2290;w)N5jl1C>o;4$0``>0g<,;3<697m;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th=?o4?:583>5}#:k91=nl4H3`f?M4e=2.:nn4:4:&1=2<3101b=o850;9j5g1=831b=o650;9l5`c=831vn;oi:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd1110;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>m6*=9687=<=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb71b>5<3290;w)N5jl1C>o;4$0``>02<,;3<6977;h3a2?6=3`;i;7>5;h3a5;|`5e`<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn;78:186>5<7s-8i?7?lc:J1f`=O:k?0("6jj0>86*=9687=2=n9k<1<75f1c594?=n9k21<75`1dg94?=zj?=>6=4;:183!4e;3;o96F=bd9K6g35<5<55;294~"5j:0:on5G2cg8L7d23-;io7;n;%0:3?2>?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a26>=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge===1/>4954848m4d12900e50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd11<0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>m6*=9687=3=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb714>5<3290;w)N5jl1C>o;4$0``>02<,;3<697:;h3a2?6=3`;i;7>5;h3a5;|`536<72=0;6=u+2c195a3<@;hn7Et$3`0>4ed3A8ii6F=b49'5ge==h1/>4954878m4d12900e4>cc9K6gc<@;h>7)?mc;77?!4>?3>286g>b783>>o6j>0;66g>b983>>i6ml0;66sm66094?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb7;0>5<2290;w)N5jl1C>o;4$0``>0g<,;3<697;;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th=?>4?:583>5}#:k91=nl4H3`f?M4e=2.:nn4:4:&1=2<31:1b=o850;9j5g1=831b=o650;9l5`c=831vn;88:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo860;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;7b?!4>?3>2?6g>b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e>;21<7:50;2x 7d428ii7E5<5<o7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th=484?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:a:&1=2<31;1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f37c290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2<>0(?78:5;2?l7e>3:17d?m7;29?l7e03:17b?je;29?xd1=k0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e>>k1<7;50;2x 7d428ih7E5<5<5;h0b3?6=3f;ni7>5;|`7b0<72:0;6=u+2c195fg<@;hn7E5<7s-8i?750z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6:4>o6j?0;66g>b683>>o6j10;66a>ed83>>{e1<7=50;2x 7d428ij7E5<5;n3fa?6=3th>?<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi98?50;694?6|,;h86N5j<1/=om5589'6<1=<1o0e4>c`9K6gc<@;h>7)?mc;6f?!4>?3>3h6g>b783>>o6j>0;66a>ed83>>{e=?l1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:7d:k2f3<722c:n:4?::k2f=<722e:ih4?::a0c7=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=49549a8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd2:o0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e==o1<7:50;2x 7d428ii7E5<5<m<7>53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2?j2c:n;4?::k2f2<722e:ih4?::a13b=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn85<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo;;d;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>3n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm4dd94?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=185o4i0`5>5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`66a<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>49549c8m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6;=>o6j?0;66g>b683>>i6ml0;66sm57`94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj<8h6=4;:183!4e;3;o96F=bd9K6g35<5<54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2?12c:n;4?::k2f2<722c:n54?::m2a`<722wi8hj50;194?6|,;h86N5j<1/=om54d9'6<1=<120e50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl:2c83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm55c94?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18564i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f04f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6;3>o6j?0;66g>b683>>o6j10;66a>ed83>>{e5<5;n3fa?6=3th>>44?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi99650;694?6|,;h86N5j<1/=om5589'6<1=<1<0e4>c`9K6gc<@;h>7)?mc;6f?!4>?3>396g>b783>>o6j>0;66a>ed83>>{e=?=1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:75:k2f3<722c:n:4?::k2f=<722e:ih4?::a0`?=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=4954918m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd2:?0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e==<1<7:50;2x 7d428ii7E5<5<n;7>53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2?:2c:n;4?::k2f2<722e:ih4?::a132=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn8<::187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo;;4;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>3>6g>b783>>o6j>0;66g>b983>>i6ml0;66sm4d494?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=185?4i0`5>5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`661<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954938m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6;4>o6j?0;66g>b683>>i6ml0;66sm57094?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj<886=4;:183!4e;3;o96F=bd9K6g35<5<7>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2?82c:n;4?::k2f2<722c:n54?::m2a`<722wi8h:50;194?6|,;h86N5j<1/=om54d9'6<1=<>l0e50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl:2383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm55394?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18:h4i0`5>5<5<50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f046290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;64a>o6j?0;66g>b683>>o6j10;66a>ed83>>{e5<5;n3fa?6=3th>>=4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi9>h50;694?6|,;h86N5j<1/=om5589'6<1=<>n0e4>c`9K6gc<@;h>7)?mc;6f?!4>?3>b783>>o6j>0;66a>ed83>>{e=5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:8c:k2f3<722c:n:4?::k2f=<722e:ih4?::a0`6=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=49546`8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd29l0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e=:n1<7:50;2x 7d428ii7E5<5<oj7>53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?20i2c:n;4?::k2f2<722e:ih4?::a10e=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn8?k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo;4>cc9K6gc<@;h>7)?mc;7:?!4>?3>b783>>o6j>0;66g>b983>>i6ml0;66sm4eg94?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18i?4i0`5>5<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`67=<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>4954e38m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;6`2>o6j?0;66g>b683>>i6ml0;66sm56594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj<9<6=4;:183!4e;3;o96F=bd9K6g35<5<47>54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2d>2c:n;4?::k2f2<722c:n54?::m2a`<722wi8ko50;194?6|,;h86N5j<1/=om54d9'6<1=3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl:3783>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm54594?2=83:p(?l<:0aa?M4em2B9n85+1ca91<=#:0=18ol4i0`5>5<5<N5j<1b=;>50;9j5f3=831d=hk50;9~f052290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3?27)<67;6a4>o6j?0;66g>b683>>o6j10;66a>ed83>>{e5<5;n3fa?6=3th>?94?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi98;50;694?6|,;h86N5j<1/=om5589'6<1=4>c`9K6gc<@;h>7)?mc;6f?!4>?3>2m6g>b783>>o6j>0;66a>ed83>>{e=>91<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<3-82;7:6a:k2f3<722c:n:4?::k2f=<722e:ih4?::a0c0=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=49549d8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd2;;0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e=<91<7:50;2x 7d428ii7E5<5<m?7>53;294~"5j:0:ol5G2cg8L7d23-;io7:j;%0:3?2?<2c:n;4?::k2f2<722e:ih4?::a130=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn8<8:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo;:0;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;7:?!4>?3>386g>b783>>o6j>0;66g>b983>>i6ml0;66sm4d:94?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=#:0=18:74i0`5>5<5<n7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`65f<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4ee3A8ii6F=b49'5ge==01/>49546;8m4d12900e50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;64<>o6j?0;66g>b683>>i6ml0;66sm54c94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj<;i6=4;:183!4e;3;o96F=bd9K6g35<5<54;294~"5j:0:oo5G2cg8L7d23-;io7;6;%0:3?2002c:n;4?::k2f2<722c:n54?::m2a`<722wi8im50;694?6|,;h86N5j<1/=om518d8m4d12900e50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl;fb83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm52;94?3=83:p(?l<:0a`?M4em2B9n85+1ca900=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb64`>5<3290;w)N5jl1C>o;4i02e>5<5<>7>5;h343?6=3f82m7>5;|`151g=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd59=21<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb3373?6==3:1>7>5;h343?6=3`82n7>5;n0:e?6=3th9=9850;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f773<3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=15194?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;;?>7>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`1517=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd59:l1<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb330a?6==3:1>7>5;h343?6=3`82n7>5;n0:e?6=3th9=>j50;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f774k3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=12`94?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;;>=7>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`1506=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd59=o1<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb337`?6==3:1>7>5;h343?6=3`82n7>5;n0:e?6=3th9=9m50;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f773j3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=15794?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;;8m7>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`156?=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd5=1<75f28`94?=h:0k1<75rb36g5?6==3:1>7>5;h343?6=3`82n7>5;n0:e?6=3th98i>50;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f72dm3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=4bf94?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;>ho7>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`10fd=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd5=1<75f28`94?=h:0k1<75rb36`>7>5;h343?6=3`82n7>5;n0:e?6=3th98n950;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f72d>3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=4b794?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;>om7>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`10a?=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l64>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd5=1<75f28`94?=h:0k1<75rb36g2?6==3:1>7>5;h343?6=3`82n7>5;n0:e?6=3th98i;50;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f72c<3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl=4bd94?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj;>h87>55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`10f5=83?1<7>t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l6:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg1e83:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl8ag83>0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e?ho1<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb6cg>5<2290;w)N5jl1C>o;4i02e>5<5<5<55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`4eg<72<0;6=u+2c195d?<@;hn7EN5j<1b==h50;9j504=831b=:950;9j64o50;9~f2g>290>6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo9n7;291?6=8r.9n>4>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd0i?0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm7`794?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj>k?6=4::183!4e;3;j56F=bd9K6g35<5<>7>5;h343?6=3`82n7>5;n0:e?6=3th5}#:k91=l74H3`f?M4e=2c:t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l65<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg1>n3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl89d83>0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e?k21<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb6`4>5<2290;w)N5jl1C>o;4i02e>5<5<5<55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`4f0<72<0;6=u+2c195d?<@;hn7EN5j<1b==h50;9j504=831b=:950;9j64o50;9~f2d4290>6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo9m2;291?6=8r.9n>4>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd0i10;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm78f94?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj>3h6=4::183!4e;3;j56F=bd9K6g35<5<>7>5;h343?6=3`82n7>5;n0:e?6=3th<854?:483>5}#:k91=l74H3`f?M4e=2c:t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l65<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg13<3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl84283>0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e?=81<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb662>5<2290;w)N5jl1C>o;4i02e>5<5<5<55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`47c<72<0;6=u+2c195d?<@;hn7Ek50;794?6|,;h86N5j<1b==h50;9j504=831b=:950;9j64o50;9~f25c290>6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo94>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd0;h0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm72;94?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj>936=4::183!4e;3;j56F=bd9K6g35<5<>7>5;h343?6=3`82n7>5;n0:e?6=3th5}#:k91=l74H3`f?M4e=2c:t$3`0>4g>3A8ii6F=b49j55`=831b=8<50;9j521=831b>4l50;9l65<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg14;3:197>50z&1f6<6i01C>ok4H3`6?l77n3:17d?:2;29?l70?3:17d<6b;29?j4>i3:17pl83383>0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e?=l1<7;50;2x 7d428k27E=1<75f28`94?=h:0k1<75rb66f>5<2290;w)N5jl1C>o;4i02e>5<5<5<55;294~"5j:0:m45G2cg8L7d23`;;j7>5;h366?6=3`;<;7>5;h0:f?6=3f82m7>5;|`40f<72<0;6=u+2c195d?<@;hn7EN5j<1b==h50;9j504=831b=:950;9j64o50;9~f22f290>6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo9;7;291?6=8r.9n>4>a89K6gc<@;h>7d??f;29?l72:3:17d?87;29?l4>j3:17b<6a;29?xd0;j0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm72394?3=83:p(?l<:0c:?M4em2B9n85f11d94?=n9<81<75f16594?=n:0h1<75`28c94?=zj>9;6=4::183!4e;3;j56F=bd9K6g35<5<=6;:180>5<7s-8i?7?la:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e:9=96=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91=no4H3`f?M4e=2.:nn4:6:k2f3<722c:n:4?::m2a`<722wi>=7;:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:9296=4<:183!4e;3;hm6F=bd9K6g3<,8hh6884i0`5>5<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a6517290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=9jk0D?lj;I0a1>"6jj0>:6g>b783>>o6j>0;66a>ed83>>{e:9396=4<:183!4e;38ih6F=bd9K6g35<729086=4?{%0a7?7di2B9nh5G2c78 4dd2<<0e4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm214f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<2c:n;4?::k2f2<722e:ih4?::a65?729086=4?{%0a7?4el2B9nh5G2c78m4072900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm215f>5<4290;w)N5jl1C>o;4$0``>005<=8l:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6<729q/>o=51bc8L7db3A8i96*>bb862>o6j?0;66g>b683>>i6ml0;66sm21:f>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>=9l:180>5<7s-8i?7?la:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e:95<5<5}#:k91=no4H3`f?M4e=2.:nn4:6:k2f3<722c:n:4?::m2a`<722wi>=7j:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e:92h6=4<:183!4e;3;hm6F=bd9K6g3<,8hh6884i0`5>5<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a651>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=9jk0D?lj;I0a1>"6jj0>:6g>b783>>o6j>0;66a>ed83>>{e:93h6=4<:183!4e;38ih6F=bd9K6g35<f29086=4?{%0a7?7di2B9nh5G2c78 4dd2<<0e4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm2154>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<2c:n;4?::k2f2<722e:ih4?::a65?f29086=4?{%0a7?4el2B9nh5G2c78m4072900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm21:;>5<4290;w)N5jl1C>o;4$0``>005<=9::187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo6<729q/>o=51bc8L7db3A8i96*>bb862>o6j?0;66g>b683>>i6ml0;66sm21;;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi>=69:180>5<7s-8i?7?la:J1f`=O:k?0(6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e:9=86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=no4H3`f?M4e=2.:nn4:6:k2f3<722c:n:4?::m2a`<722wi>=h7:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg47n>0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm21d5>5<2290;w)N5jl1C>o;4i02e>5<5<5<5}#:k91=l74H3`f?M4e=2c:6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e:9l96=4::183!4e;3;j56F=bd9K6g35<5<=h?:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg47mo0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm21gf>5<2290;w)N5jl1C>o;4i02e>5<5<5<5}#:k91=l74H3`f?M4e=2c:6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e:9oj6=4::183!4e;3;j56F=bd9K6g35<5<=k7:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg47m>0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm21f7>5<2290;w)N5jl1C>o;4i02e>5<5<5<4?:483>5}#:k91=l74H3`f?M4e=2c:6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e:9n;6=4::183!4e;3;j56F=bd9K6g35<5<=mj:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg47km0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm21a`>5<2290;w)N5jl1C>o;4i02e>5<5<5<5}#:k91=l74H3`f?M4e=2c:6=4?{%0a7?7f12B9nh5G2c78m46a2900e<;=:188m4102900e?7m:188k7?f2900qo0<729q/>o=51`;8L7db3A8i96g>0g83>>o6=;0;66g>7683>>o51k0;66a=9`83>>{e:9i36=4::183!4e;3;j56F=bd9K6g35<5<=m9:186>5<7s-8i?7?n9:J1f`=O:k?0e<>i:188m4352900e<98:188m7?e2900c?7n:188yg47k<0;684?:1y'6g5=9h30D?lj;I0a1>o68o0;66g>5383>>o6?>0;66g=9c83>>i51h0;66sm21a7>5<2290;w)N5jl1C>o;4i02e>5<5<5<4?:483>5}#:k91=l74H3`f?M4e=2c:t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnh9::180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xdb?=0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sme7294?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbd7e>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h0b3?6=3f;ni7>5;|`f1f<72=0;6=u+2c195a3<@;hn7E1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f`3f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xdb=10;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{em<=1<7:50;2x 7d428n>7E5<5<97>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thn994?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wii8<50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnh;>:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qok81;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdb=90;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{em>:1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thn8h4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wii;k50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f`2c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plj4b83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sme7a94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjl>i6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`f0d<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnh:6:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qok8c;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdb>>0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{em>h1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thn:84?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wii:750;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f`03290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plj6283>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sme6594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjl<96=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`f1`<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnh;<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qok99;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdb<10;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{em?21<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<:;0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0<7)<67;3`b`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj838?7>56;294~"5j:0:oi5G2cg8L7d23-;io7?nc:&1=2<6koo0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1802>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e90:m6=4;:183!4e;3;o96F=bd9K6g35<5<=4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6koh0e2900c;80;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682gcd5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0aee>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1813>5<2290;w)N5jl1C>o;4$0``>40<,;3<6k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?61d83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:ok74i0`5>5<5<5<k4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6ko30e2900c8j0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e90;o6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ea02c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5<4b290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0ae<>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm183b>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cg58m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:ok94i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=45<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e909j6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ea>2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5<7?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c:00;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>;6*=9682gc35<5<2.95:4>cg78m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm180;>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e90;=6=4;:183!4e;3;o96F=bd9K6g35<5<:4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6ko90e2900c;>0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682gc55<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0ae5>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1815>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?62483>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:ok>4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6ko:0e2900c9:0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e908?6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ebn2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5<53290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0afb>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm182a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cdg8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:ohk4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=4?m:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e908h6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ebl2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a510229086=4?{%0a7?4el2B9nh5G2c78m4072900e6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=896=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5146290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c;0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1503>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;5g83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=;o6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a517d290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm153a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;5c83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=;26=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a517?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1534>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;5683>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=;>6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5174290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1531>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;5383>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=;;6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a516a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm152f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;4d83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=:h6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a516e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm152b>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;6`83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=8<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5141290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c10;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1506>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;6783>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=886=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a517a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1537>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<6:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?7e083>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19d1>5<2290;w)N5jl1C>o;4$0``>01<,;3<6>:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91o;6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6klh0e2900c890;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682g`d5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0afe>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19de>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?7eg83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oh74i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kl30e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91on6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4eb?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=`c290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0af3>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19ga>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cd48m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:oh84i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5h6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e90:36=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4eb=2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=c>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g`25<5<2.95:4>cd68m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19d4>5<2290;w)N5jl1C>o;4$0``>01<,;3<69:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91o<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kl80e2900c8<0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682g`45<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0af5>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1827>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?7f583>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oh>4i0`5>5<5<5<4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kl:0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91l86=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ecn2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5<65290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0agb>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19f`>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<ceg8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:oik4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5kl:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91li6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4eck2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a51e229086=4?{%0a7?4el2B9nh5G2c78m4072900e6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=2?6=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a51>4290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm15:1>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;bg83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9==m6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a511b290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm155g>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;bc83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9==i6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a511f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm155:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;b683>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9==<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5112290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1557>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;b383>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9==96=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5116290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1553>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;ad83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=5<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a510c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm154`>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;c`83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=226=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a51>?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm15:4>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;c783>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9=2>6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a51>6290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1555>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0agf>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19f1>5<2290;w)N5jl1C>o;4$0``>40<,;3<6:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?7c383>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oio4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kmk0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91i:6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ec12c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=b7290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0ag=>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19ce>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<ce:8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:oi64i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5li:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91in6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ec?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=dd290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682ga05<5<2.95:4>ce48m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19ab>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91hj6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6km>0e2900c"6jj0::6*=9682ga25<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0ag6>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19f4>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?7c683>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oi?4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6km;0e2900c0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e91i=6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ec82c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=b2290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0ag4>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19`5>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cbd8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:onh4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5m;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91n86=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4edm2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=gc290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682gfb5<5<2.95:4>cbf8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19`g>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9=:96=4<:183!4e;38ih6F=bd9K6g35<m<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;0183>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:i96=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56e6290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12`e>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<lj:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:ho6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56dd290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12`a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<ln:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:h26=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56d?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12`4>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<l9:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:h>6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56d3290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12`0>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<l=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:h:6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56d7290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12ce>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<oj:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:ko6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56gd290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12a:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<m7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;0783>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:i<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a56e1290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm12a6>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<m;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:i;6=4;:183!4e;3;o96F=bd9K6g35<5<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=57;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91k86=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4edj2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=>5290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682gfg5<5<2.95:4>cbc8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19;1>5<2290;w)N5jl1C>o;4$0``>01<,;3<6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e912;6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kj=0e2900c"6jj0::6*=9682gf15<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a`2>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19;e>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?79b83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:on;4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kj?0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e913i6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ed<2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=gf290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a`0>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19:a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cb18m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:on=4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=576:186>5<7s-8i?7?lc:J1f`=O:k?0(6g>b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91k36=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ed:2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=>>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682gf75<5<2.95:4>cb38m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm19;4>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e912<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kkl0e2900c"6jj0::6*=9682gg`5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0aa`>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm19c7>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?78g83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oom4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kki0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e912n6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4eej2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=?c290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0aaf>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm12c6>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a560?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1244>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<89:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:<>6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5603290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1240>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<8=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<9e83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:<:6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5607290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm127e>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<;j:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<9883>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:?o6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a563d290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm127a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<;n:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<9483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:?26=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a563?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1274>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<;9:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<9083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:?>6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5633290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1270>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<;=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:5<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a560c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm124`>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<8m:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:5<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a560>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91?86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nj4H3`f?M4e=2.:nn48;%0:3?7djh1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<684;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;`8 7?028iim6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1971>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cc;8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:oo74i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=58=:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91=96=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ee02c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=37290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c80;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>;6*=9682gg15<5<2.95:4>cc58m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1943>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91?o6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kk?0e2900c"6jj0::6*=9682gg35<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0aa0>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm195a>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?76c83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:oo<4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kk80e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e914ee92c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=1>290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0aa5>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm197:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<cc28m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:oo>4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=587:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91=<6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4efn2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=30290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c>0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>;6*=9682gdc5<5<2.95:4>c`g8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1945>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91>n6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6khi0e2900co0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682gde5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0abf>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm194f>5<2290;w)N5jl1C>o;4$0``>40<,;3<6:l:180>5<7s-8i?74?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1232>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<??:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<4883>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9::m6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a566b290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm122g>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<>l:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<4483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9::i6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a566f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm122:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<>7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<4083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9::<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5661290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1226>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<>;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<3e83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9::86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5665290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1222>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<>?:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<3883>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;lm6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a57`b290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm13dg>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<3483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;li6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5671290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1236>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<?;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?<4d83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9:;86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5675290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900co6>90;66g>9483>>o5i>0;66a>ed83>>{e919=6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4efi2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=23290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0abe>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1906>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c`:8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:ol64i0`5>5<5<5<94?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5=;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91>96=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4ef?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=44290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682gd05<5<2.95:4>c`48m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm191f>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e918m6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kh>0e2900c"6jj0::6*=9682gd25<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0ab7>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm196b>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?73c83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:ol<4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6kh80e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e919j6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4ef92c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=2?290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0ab5>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm190a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c`28m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:ol>4i0`5>5<5<5<l4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5=7:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91>=6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e>n2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=4>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0>;6*=9682g5<5<2.95:4>c8f8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1911>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e918:6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k0h0e2900c"6jj0::6*=9682g5<5<5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;l?6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13d1>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?h>:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;l;6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13gf>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?kk:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;oh6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13gb>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?k6:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;o36=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13g5>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?k::180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;o?6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13g1>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?k>:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;o;6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13db>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?h6:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;l36=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16d:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<=831b=o750;9j5gg=831d=hk50;9~f4>603:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3h0(?78:0a:e>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9>l36=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k030e2900c0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682g5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a:<>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1935>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?70783>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o494i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k0=0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91;96=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e>>2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=47290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a:2>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1921>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c878m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o4;4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=5??:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e91;n6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e><2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=67290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g<45<5<2.95:4>c808m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm192f>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>ln6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k0:0e2900c"6jj0::6*=9682g<65<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a;b>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm193b>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?70c83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o5k4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k1o0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e91:>6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e?l2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5=73290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a;`>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16d7>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c9a8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o5m4i0`5>5<5<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a57g229086=4?{%0a7?4el2B9nh5G2c78m4072900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13c7>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?o<:180>5<7s-8i?7m0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;k96=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13c3>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?7i:180>5<7s-8i?700;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;3n6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13;`>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?7m:180>5<7s-8i?7<0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;3j6=4<:183!4e;38ih6F=bd9K6g35<29086=4?{%0a7?4el2B9nh5G2c78m4072900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13;;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?78:180>5<7s-8i?780;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;3=6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13;7>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?7<:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;396=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13;3>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=?6i:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9;k26=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm13c4>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:mk:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?8cb83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16f`>5<1290;w)N5jl1C>o;4$0``>a=#:0=1=n6m;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`23`d=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=>2.95:4>c9`8m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9>ni6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e?i2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52cf290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a;e>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16ab>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c9;8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o574i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:j6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>o36=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e??2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52b1290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g=05<5<2.95:4>c948m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16g7>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>n?6=4;:183!4e;3;o96F=bd9K6g35<5<4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k1>0e2900c"6jj0::6*=9682g=25<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a;7>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16d3>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?8e083>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o5<4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k180e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>o;6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e?92c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52cb290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a;5>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16f3>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c928m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o5>4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:jj:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>oh6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e0n2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52e?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g2c5<5<2.95:4>c6g8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16f4>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9;?=6=4<:183!4e;38ih6F=bd9K6g35<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=5583>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;8;6=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a577a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm133f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=5183>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;;h6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a577e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm133b>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=4b83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;;36=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5770290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1335>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=4983>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;;?6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5774290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1331>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=4583>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;;;6=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a576a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm132f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=4183>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9;:h6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a576e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1300>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?8a383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16c2>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<=831b=o750;9j5gg=831d=hk50;9~f41em3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3h0(?78:0a4f>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9>k;6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k>k0e2900c"6jj0::6*=9682g2g5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a4=>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16``>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?8b883>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o:64i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k>20e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>h36=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e0?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52e2290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a43>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16c:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c648m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o:84i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:l9:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>i86=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e0=2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52g0290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g225<5<2.95:4>c668m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16`7>5<2290;w)N5jl1C>o;4$0``>01<,;3<6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>k>6=4;:183!4e;3;o96F=bd9K6g35<5<4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k>;0e2900c"6jj0::6*=9682g275<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a44>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16`e>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?8ae83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o;h4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k?l0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>kh6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e1m2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52df290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a5a>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm132:>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54b1290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10f6>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=0483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98n86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54b5290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10f2>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=0083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98im6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54eb290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10ag>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>fe83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98ii6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54ef290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10a:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>f883>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98i<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54e1290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10a6>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>f483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98i86=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54e5290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10a2>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?=0`83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98n<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:98:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?88783>3<729q/>o=51bf8L7db3A8i96*>bb8g?!4>?3;h:i5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb05:0?6=>3:1"6jj0>;6*=9682g3e5<5<2.95:4>c7a8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16:7>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>2:6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k?k0e2900c"6jj0::6*=9682g3g5<5<b290>6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a5=>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16;a>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?88e83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o;64i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k?20e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>2h6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e1>2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a52?>290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a52>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm165g>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c778m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o;;4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:6n:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>3<6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e1<2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a521e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g355<5<2.95:4>c718m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm16:;>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(6g>b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>=?6=4;:183!4e;3;o96F=bd9K6g35<5<4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k?;0e2900c"6jj0::6*=9682g375<5<5290>6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a54>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm16;3>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?74?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10:f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>bb83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e982h6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54>e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10:b>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>b983>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98236=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54>0290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10:5>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>b583>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e982?6=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a54>4290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm10:1>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>b183>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e982;6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a541a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm105f>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>ab83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98=h6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a541e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm105b>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>a983>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98=36=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=::j:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?85e83>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6?=n1<7:50;2x 7d428n>7Eo7>56;294~"5j:0:oi5G2cg8L7d23-;io794$3;4>4e2n2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=:8n:185>5<7s-8i?7?ld:J1f`=O:k?0(75a94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb056f?6==3:1=831b=o750;9l5`c=831vn<999;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;h9h5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8=>47>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:;;850;794?6|,;h86N5j<1/=om5569'6<1=9j?o7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6?>81<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2301=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f411=3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`1g=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0545?6==3:1=831b=o750;9l5`c=831vn<9:6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d77694?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=n;n;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:;:>50;794?6|,;h86N5j<1/=om5179'6<1=9j?j7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6?7E55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7d=01b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f411n3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3`1<=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0560?6=<3:15;h0b3?6=3f;ni7>5;|`2334=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951b7;?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>77g94?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=n;7;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:;8=50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<991;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;h9:5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8==h7>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7d=>1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f412:3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6??:1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`233e=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951b75?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>74394?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb056b?6==3:1=831b=o750;9l5`c=831vn<99b;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;h985f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8=?n7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:;8o50;794?6|,;h86N5j<1/=om5569'6<1=9j??7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6??21<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`231g=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f41213:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`16=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0553?6==3:1=831b=o750;9l5`c=831vn4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd69><1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2523=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn4>d49K6gc<@;h>7d?90;29?l7>=3:17d16694?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8;?47>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:=:=50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f473?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd69>81<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2527=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn4>d49K6gc<@;h>7d?90;29?l7>=3:17d16294?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8;?87>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:=;h50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f473;3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd69?o1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`253b=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn4>d49K6gc<@;h>7d?90;29?l7>=3:17d17a94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8;?<7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:=;l50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f474n3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd69?k1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`253?=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn4>d49K6gc<@;h>7d?90;29?l7>=3:17d17:94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8;8o7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:=;950;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f474j3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd69?<1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2533=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn4>d49K6gc<@;h>7d?90;29?l7>=3:17d17694?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8;847>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:=;=50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f474?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd69?81<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2537=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<9=6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d73794?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb0500?6=>3:15<5<5<94?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:=<:185>5<7s-8i?7?ld:J1f`=O:k?0(75394?0=83:p(?l<:0ag?M4em2B9n85+1ca92>"51>0:o8<4i0`5>5<5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a64>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1663>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?83e83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o9h4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k=l0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>9h6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e3m2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a522?290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a7a>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm160g>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c5f8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o9j4i0`5>5<5<5<n4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=:=n:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>>=6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e3k2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a524e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g1d5<5<2.95:4>c5`8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm161;>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9>826=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k=30e2900c"6jj0::6*=9682g1?5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a7<>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1661>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?83083>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o994i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k==0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>9;6=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e3=2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a525b290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a71>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1016>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a546f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm102:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>3083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98:<6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5461290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1026>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>2e83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e98:86=4;:183!4e;3;o96F=bd9K6g35<5<n4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5465290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1022>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<?:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>2883>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99lm6=4;:183!4e;3;o96F=bd9K6g35<5<54?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a55`b290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm11dg>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>2483>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99li6=4;:183!4e;3;o96F=bd9K6g35<5<94?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a55`f290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm11d:>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?>2083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99l<6=4;:183!4e;3;o96F=bd9K6g35<5<=4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a53`a290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9>:h6=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb04e`?6=<3:15;h0b3?6=3f;ni7>5;|`235d=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=?2.95:4>c568m4d12900e"6jj0i7)<67;3`01=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8=;47>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:;<;50;794?6|,;h86N5j<1/=om5569'6<1=9j>87d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6?;:1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2351=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f416<3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`07=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb052b?6==3:1=831b=o750;9l5`c=831vn<9?6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d70194?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=n:>;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:;N5j<1/=om5179'6<1=9j>:7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6?9?1<7:50;2x 7d428n>7E7>55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7d<91b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f416l3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3`05=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0530?6=<3:15;h0b3?6=3f;ni7>5;|`2347=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951b1e?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>70a94?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=n=i;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:;==50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<9>0;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;h?h5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8=:n7>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7d;l1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f417:3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6?9l1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`234g=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951b1g?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>71394?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb053a?6==3:1=831b=o750;9l5`c=831vn<9>9;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;h?n5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj854;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:;=o50;794?6|,;h86N5j<1/=om5569'6<1=9j9j7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6?8=1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`22cd=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f41713:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`7<=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0522?6==3:1=831b=o750;9l5`c=831vn<>i6;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xd68o?1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`24c2=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>lc;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d0g194?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:hn7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:N5j<1b=;>50;9j5f3=831d=hk50;9~f46di3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68o;1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`24c6=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>l8;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d0dd94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:h;7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:N5j<1b=;>50;9j5f3=831d=hk50;9~f46d>3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68ln1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`24`e=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>l4;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d0d`94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:h?7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:N5j<1b=;>50;9j5f3=831d=hk50;9~f46d:3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68l31<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`24`>=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>l0;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d0d594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:ij7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:N5j<1b=;>50;9j5f3=831d=hk50;9~f46em3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68l?1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`24`2=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>mc;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d0d194?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:in7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:N5j<1b=;>50;9j5f3=831d=hk50;9~f40c13:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>m21<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<4951b1;?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6>o81<7850;2x 7d428io7E5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=;ki:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?lj6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e4?2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a53c6290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g605<5<2.95:4>c248m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm17gg>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?nm6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k:>0e2900c0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0::6*=9682g625<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a07>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm17d5>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?9e`83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o><4i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k:80e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9?o26=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e492c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a53`3290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a05>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm17fa>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c3d8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o?h4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=;k;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?l:6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e5m2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a53b2290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g7b5<5<2.95:4>c3f8m4d12900e6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99h26=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm11`4>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi==l9:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e99h>6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm11`0>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi==l=:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e99h:6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm11ce>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi==oj:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e99ko6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm11ca>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi==on:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e99k26=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm11c4>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=;l<:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?9c083>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6>k81<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<1/>4951b0`?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6>m>1<7850;2x 7d428io7E5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=;m6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?n86=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e5j2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a53de290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g7g5<5<2.95:4>c3c8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm17a4>5<2290;w)N5jl1C>o;4$0``>01<,;3<6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?h26=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6k;20e2900c"6jj0::6*=9682g7>5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0a13>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm17ae>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?9c583>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:o?84i0`5>5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6k;<0e2900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9?i86=4::183!4e;3;ho6F=bd9K6g3<,8hh6894$3;4>4e5<2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a53ec290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0a10>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm17`2>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c318m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o?=4i0`5>5<5<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=;lj:186>5<7s-8i?7?lc:J1f`=O:k?0(6g>b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?ii6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e5:2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a55>629086=4?{%0a7?4el2B9nh5G2c78m4072900e6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99?j6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a553>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm117;>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo??7b83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99?=6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5532290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1177>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo??7983>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99?96=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a5536290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm1173>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo??7583>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99>n6=4;:183!4e;3;o96F=bd9K6g35<5<4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a552c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sm116`>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo??7183>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e99>j6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::a552>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900co6>90;66g>9483>>o5i>0;66a>ed83>>{e9?2m6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=nj4H3`f?M4e=2.:nn4;;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`221<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f40f=3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3n0(?78:0a15>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9?km6=49:183!4e;3;hh6F=bd9K6g3<,8hh6;5+28595f463`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th::4650;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<8n4;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;h>=5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj855;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7d:91b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f40>?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>h91<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`22db=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951b3e?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>68494?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb04b6?6==3:1=831b=o750;9l5`c=831vn<8nc;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;h=h5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<297>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::l?50;794?6|,;h86N5j<1/=om5569'6<1=9j;o7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>hh1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`22<2=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f40f83:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`5f=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb04be?6==3:1=831b=o750;9l5`c=831vn<863;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d68d94?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=n?m;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::l750;794?6|,;h86N5j<1/=om5179'6<1=9j;i7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>081<7:50;2x 7d428n>7E55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7c<<1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f40f03:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3g00=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb04;a?6=<3:15;h0b3?6=3f;ni7>5;|`22t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951e67?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>6`594?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=i:;;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::5j50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<86a;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;o8>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj855;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c<:1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f46303:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl>05594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:997>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:<9850;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f465<3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68=?1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2412=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>=2;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d05194?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8:9=7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:<9<50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f46583:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68=;1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2416=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>>e;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d02d94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8::h7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:<>k50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f466k3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68:n1<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`246e=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>>a;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d02`94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8::57>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:<>o50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f46603:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68:31<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`246>=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vn<>>6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d02594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zj8<=i7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::;j50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<88a;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900e0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9?286=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0442?6=<3:15;h0b3?6=3f;ni7>5;|`22=4=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=?2.95:4>d508m4d12900e"6jj0i7)<67;3g07=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8<<97>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::5?50;794?6|,;h86N5j<1/=om5569'6<1=9m>:7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>1h1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2222=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f40?83:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3g05=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb04;e?6==3:1=831b=o750;9l5`c=831vn<883;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d66d94?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=i=i;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::5750;794?6|,;h86N5j<1/=om5179'6<1=9m9m7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>>81<7:50;2x 7d428n>7E55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7c;l1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f40?03:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3g7`=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0445?6=<3:15;h0b3?6=3f;ni7>5;|`222b=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951e1g?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>69594?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=i=k;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:::>50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<88c;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;o?n5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<3:7>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c;j1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f401k3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>>31<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`22=3=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951e1b?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>67`94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb044=831b=o750;9l5`c=831vn<874;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;o?45f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8::97>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`2442=8391<7>t$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnkh=:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo??1283>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{eno;1<7:50;2x 7d428n>7E7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`eb5<72=0;6=u+2c195a3<@;hn7E4>d49K6gc<@;h>7d?90;29?l7>=3:17d00294?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjoon6=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::ab`b=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f467m3:1?7>50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plieb83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm112g>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:<=m50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fccf290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smfd;94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb023e?6=;3:15;n3fa?6=3thmi54?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi==>6:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd68921<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<>?6;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdam=0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e99:>6=4<:183!4e;38ih6F=bd9K6g35<5;h0b3?6=3f;ni7>5;|`221e=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f403j3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6><21<7850;2x 7d428io7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1776>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th::8:50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<890;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;f8 7?028n846g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm174b>5<1290;w)N5jl1C>o;4$0``>3=#:0=1=i=7;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`2205=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f402n3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3g72=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb045=?6==3:1=831b=o750;9l5`c=831vn<8:2;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d64g94?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=i=9;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::;650;794?6|,;h86N5j<1/=om5179'6<1=9m9=7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6><;1<7:50;2x 7d428n>7Eh7>55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7c;<1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f401?3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3g70=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0464?6=<3:15;h0b3?6=3f;ni7>5;|`220e=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951e17?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>67494?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=i=;;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::9h50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<8:b;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;o?>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<=97>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c;:1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f403m3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2232=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951e11?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>65c94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb0463?6==3:1=831b=o750;9l5`c=831vn<893;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;o?<5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj854;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::8850;794?6|,;h86N5j<1/=om5569'6<1=9m8m7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>?81<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`ea7<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5f3=831d=hk50;9~fce4290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plic383>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66smfed94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjoi:6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`eg5<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnkli:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qohkc;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdajl0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{enmh1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thmnn4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wiji750;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fcde290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plib`83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66smfe594?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjoh26=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`ef=<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnkl8:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qohk4;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdaj?0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{enm91<7=50;2x 7d42;ho7E5;h0b3?6=3f;ni7>5;|`2263=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f40383:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3>0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm171e>5<1290;w)N5jl1C>o;4$0``>1=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8<8?7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::>k50;494?6|,;h86N5j<1/=om57:&1=2<6l;o0e2900e3<729q/>o=51bf8L7db3A8i96*>bb8a?!4>?3;o>h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0406?6=<3:15;h0b3?6=3f;ni7>5;|`226b=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951e0g?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>65594?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=i5;h3a5;n3fa?6=3th::>?50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<84>cb9K6gc<@;h>7)?mc;74?!4>?3;o>n5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj855;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c:j1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f40483:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>:h1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2213=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951e0a?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>63d94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb040e?6==3:1=831b=o750;9l5`c=831vn<8;4;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;o>l5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<9i7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::>750;794?6|,;h86N5j<1/=om5569'6<1=9m827d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>=91<7;50;2x 7d428ih7E3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`227d=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f404?3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3g6==n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0476?6==3:1=831b=o750;9l5`c=831vn<8=a;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d62494?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=i<8;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th::9?50;794?6|,;h86N5j<1/=om5179'6<1=9m8<7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xdaj<0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smfc694?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjo336=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`e=2<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnk79:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qohm1;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xda1<0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{enk:1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thm5>4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wijlk50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fc?5290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pli9083>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66smf`a94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjo3;6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnk6j:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qohn9;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xda0m0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{enh21<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th::=j50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<8>6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d63394?0=83:p(?l<:0ag?M4em2B9n85+1ca90>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9?;>6=4;:183!4e;3;o96F=bd9K6g35<5<=4?:783>5}#:k91=nj4H3`f?M4e=2.:nn4;;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`2242=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f406n3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3n0(?78:0f12>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9?826=49:183!4e;3;hh6F=bd9K6g3<,8hh6;5+28595a413`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th::<=50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<8>e;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;o>85f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<947>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c:<1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f406:3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6>8n1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2271=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951e07?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>60394?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb042g?6==3:1=831b=o750;9l5`c=831vn<8=6;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;o>>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8<:<7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th::N5j<1/=om5569'6<1=9m897d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>;?1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`225`=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f406i3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3g64=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0410?6==3:1=831b=o750;9l5`c=831vn<8?c;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d60:94?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=i5;h3a5;n3fa?6=3th::?=50;794?6|,;h86N5j<1/=om5179'6<1=9m8;7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6>9h1<7:50;2x 7d428n>7E55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7c9o1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f405:3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3g5c=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rbg:a>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`e35<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnk8i:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qoh78;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xda>l0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{en1=1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thm:n4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wij5;50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fc0e290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pli6`83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66smf9194?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjo<26=4;:183!4e;3;o96F=bd9K6g35<5<7>53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`e2=<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnk88:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qoh70;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xda>?0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{en>l1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3th:9hh50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;i8;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d61194?0=83:p(?l<:0ag?M4em2B9n85+1ca90>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e95<5<5}#:k91=nj4H3`f?M4e=2.:nn4;;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`21c0=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f40793:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3>0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1723>5<1290;w)N5jl1C>o;4$0``>2=#:0=1=i?j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`225g=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=j2.95:4>d0g8m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e94b6l2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a536>290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28<0(?78:0f2`>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm14d0>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<d0a8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:h5<5<5<j?4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8hk:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9?:<6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4b6j2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a50`6290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682`4g5<5<2.95:4>d0c8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm14db>5<2290;w)N5jl1C>o;4$0``>01<,;3<69:k2f3<722c:n:4?::k2f=<722c:n44?::m2a`<722wi=;>::186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e95<5<j44?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6l820e2900c"6jj0::6*=9682`4>5<5<5;n3fa?6=3thm:>4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::ab1d=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~fc0529086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{en=31<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`e1c<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnk;j:180>5<7s-8i?73:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xda=m0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smf5794?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbg7`>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thm9o4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::ab15=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~fc3f29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{en=;1<7:50;2x 7d428n>7E5<"6jj0?7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>5e;94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb07f0?6=>3:15<5<5<h54?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8k<:185>5<7s-8i?7?ld:J1f`=O:k?0(=831b=o750;9j5gg=831d=hk50;9~f43c?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6=l81<7850;2x 7d428io7E5<5<5<in4?:783>5}#:k91=nj4H3`f?M4e=2.:nn49;%0:3?7c9>1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<;k6;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d5d394?3=83:p(?l<:0a`?M4em2B9n85+1ca912=#:0=1=i?9;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:9hl50;794?6|,;h86N5j<1/=om5179'6<1=9m;=7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6=m?1<7:50;2x 7d428n>7E55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7c9<1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f43bi3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3g50=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb07g0?6=<3:15;h0b3?6=3f;ni7>5;|`21a`=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951e37?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>5d;94?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=i?;;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:9i=50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;ke;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;o=>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8?n47>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7c9:1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f43c83:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6=mi1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`21`1=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9?1/>4951e31?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>5bd94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb07gf?6==3:1=831b=o750;9l5`c=831vn<;j6;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;o=<5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zjo>;6=4<:183!4e;38ih6F=bd9K6g35<5;n3fa?6=3thm>44?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wij>k50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fc4?290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pli2683>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66smf2a94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjo8=6=4;:183!4e;3;o96F=bd9K6g35<5<53;294~"5j:09ni5G2cg8L7d23`;=<7>5;h3`1?6=3f;ni7>5;|`e60<72=0;6=u+2c195a3<@;hn7Et$3`0>7dc3A8ii6F=b49j536=831b=n;50;9l5`c=831vnk<;:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qoh<9;297?6=8r.9n>4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xda::0;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{en:21<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thm><4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wij>850;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~fc47290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pl>5cf94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb07ag?6=<3:15;h0b3?6=3f;ni7>5;|`21f1=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=8lm:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:c783>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=kk1<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<1/>4951e33?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=jo1<7850;2x 7d428io7E5<5<5<n54?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8m<:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e94b7n2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a50d0290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682`5c5<5<2.95:4>d1g8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm14a2>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e96=4;:183!4e;3;o96F=bd9K6g35<5<o=4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6l9i0e2900c"6jj0::6*=9682`5e5<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0f3f>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm14a:>5<2290;w)N5jl1C>o;4$0``>40<,;3<6N5j<1b=;>50;9j5f3=831d=hk50;9~fc7b29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{en9=1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`e5g<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnk?n:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xda900;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smf1194?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbg3;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thm=:4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::ab57=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~fc7129086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{emol1<7:50;2x 7d428n>7E7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:9l?50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;nc;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e95;h0b3?6=3f;ni7>5;|`21dg=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=87j:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:a883>3<729q/>o=51bf8L7db3A8i96*>bb8g?!4>?3;o3:1"6jj0>;6*=9682`5?5<5<2.95:4>d1;8m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm14c4>5<2290;w)N5jl1C>o;4$0``>01<,;3<6:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<3i6=4;:183!4e;3;o96F=bd9K6g35<5<m;4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6l9=0e2900c"6jj0::6*=9682`515<5<6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0f32>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm14ce>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:a583>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:h=;4i0`5>5<5<5<mh4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6l9?0e2900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17pljfe83>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{emlk1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`fbg<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnhhn:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xdbn00;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smed494?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbdd;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thnj:4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::aa`2=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f``129086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{e9<236=4;:183!4e;3;o96F=bd9K6g35<5<4:4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=87=:185>5<7s-8i?7?ld:J1f`=O:k?0(=831b=o750;9j5gg=831d=hk50;9~f43?>3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6=0;1<7850;2x 7d428io7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm14:6>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:95:50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;7f;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9<2n6=49:183!4e;3;hh6F=bd9K6g3<,8hh6:5+28595a633`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:94650;494?6|,;h86N5j<1/=om5b:&1=2<6l9>0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm14:g>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<2:6=4;:183!4e;3;o96F=bd9K6g35<5<4n4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6l980e2900c"6jj0::6*=9682`545<5<e290>6=4?{%0a7?7dk2B9nh5G2c78 4dd2<=0(?78:0f35>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm14;6>5<2290;w)N5jl1C>o;4$0``>40<,;3<65<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:8`83>0<729q/>o=51ba8L7db3A8i96*>bb863>"51>0:h=>4i0`5>5<5<5<594?:483>5}#:k91=nm4H3`f?M4e=2.:nn4>6:&1=2<6l9:0e2900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plje083>6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{emjl1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`f`c<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnhjj:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xdblm0;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smeb`94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbdf`>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thnho4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::aaf?=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f`bf29086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d57d94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb075a?6=<3:15;h0b3?6=3f;ni7>5;|`212>=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=88k:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:7683>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=?i1<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<4951bde?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=>o1<7850;2x 7d428io7E5<5<5<:l4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=89;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<=o6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4eal2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a500>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682gc45<5<2.95:4>cg08m4d12900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1451>5<2290;w)N5jl1C>o;4$0``>01<,;3<65<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<<<6=4;:183!4e;3;o96F=bd9K6g35<5<;<4?:483>5}#:k91=nm4H3`f?M4e=2.:nn4:7:&1=2<6kmn0e2900c"6jj0::6*=9682gab5<5<5;n3fa?6=3thno;4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::aag0=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f`e229086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{emk>1<7:50;2x 7d428n>7E5<5;h0b3?6=3f;ni7>5;|`fg7<72:0;6=u+2c196gb<@;hn7EN5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vnhm>:180>5<7s-8i?750z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xdbk90;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66smec294?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb0765;h0b3?6=3f;ni7>5;|`2101=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f43193:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3>0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1443>5<1290;w)N5jl1C>o;4$0``>1=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8?>97>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:98h50;494?6|,;h86N5j<1/=om54:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5033290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0?7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>54194?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb076`?6=>3:14951bf0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=<81<7:50;2x 7d428n>7Eo7>55;294~"5j:0:on5G2cg8L7d23-;io7;8;%0:3?7dk01b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f431=3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;=7)<67;3`g<=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0765?6=<3:15;h0b3?6=3f;ni7>5;|`210d=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==>1/>4951b`f?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>57694?3=83:p(?l<:0a`?M4em2B9n85+1ca953=#:0=1=nlj;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:98>50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;:a;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;74?!4>?3;hn>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8?=?7>55;294~"5j:0:on5G2cg8L7d23-;io7?9;%0:3?7dj:1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f`ga29086=4?{%0a7?4el2B9nh5G2c78m4072900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdbi90;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{emhn1<7=50;2x 7d42;ho7E5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;n3fa?6=3thn5h4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wiill50;194?6|,;h86?lk;I0aa>N5j<1b=;>50;9j5f3=831d=hk50;9~f`?c290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c50z&1f6<5jm1C>ok4H3`6?l7183:17d?l5;29?j7bm3:17plj9b83>1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sme`;94?5=83:p(?l<:3`g?M4em2B9n85f17294?=n9j?1<75`1dg94?=zjl3i6=4;:183!4e;3;o96F=bd9K6g35<5<8<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8:?:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:4`83>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=:l1<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<4>d49K6gc<@;h>7d?90;29?l7>=3:17d55594?0=83:p(?l<:0ag?M4em2B9n85+1ca90>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9<9h6=4;:183!4e;3;o96F=bd9K6g35<5<8;4?:783>5}#:k91=nj4H3`f?M4e=2.:nn4k;%0:3?7di01b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<;;f;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;48 7?028ij56g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm141a>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<c8g8m4d12900e0<729q/>o=51ba8L7db3A8i96*>bb822>"51>0:o4k4i0`5>5<5<5<?l4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8:;:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<>o6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<84$3;4>4e>;2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a505>290?6=4?{%0a7?7c=2B9nh5G2c78m4072900e<7::188m7g02900c"6jj0>;6*=9682g=>5<5<2.95:4>c9:8m4d12900e4=be9K6gc<@;h>7d?90;29?l7d=3:17b?je;29?xdb100;6>4?:1y'6g5=:kn0D?lj;I0a1>o6>90;66g>c483>>i6ml0;66sme9f94?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rbd;;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3thn5:4?:283>5}#:k91>oj4H3`f?M4e=2c::=4?::k2g0<722e:ih4?::aa=d=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f`?129086=4?{%0a7?4el2B9nh5G2c78m4072900e4>d49K6gc<@;h>7d?90;29?l7>=3:17d6<729q/>o=52cf8L7db3A8i96g>6183>>o6k<0;66a>ed83>>{em131<7:50;2x 7d428n>7E54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:9?l50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;<4;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9<986=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb071=?6=<3:15;h0b3?6=3f;ni7>5;|`2164=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=8<7:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?:3083>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6=;=1<7:50;2x 7d428n>7E56;294~"5j:0:oi5G2cg8L7d23-;io794$3;4>4e0l2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=8=7:185>5<7s-8i?7?ld:J1f`=O:k?0(53494?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb071b?6==3:1=831b=o750;9l5`c=831vn<;<7;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;35?!4>?3;h;?5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8?997>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:9?k50;794?6|,;h86N5j<1/=om5569'6<1=9j<<7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6=:<1<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`214>=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f436?3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6=;:1<7850;2x 7d428io7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1435>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:9<;50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;>e;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9<;o6=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0727?6=<3:15;h0b3?6=3f;ni7>5;|`214e=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=l2.95:4>c4a8m4d12900e"6jj0=7)<67;3`1f=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8?:>7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:9N5j<1/=om5569'6<1=9j?:7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd6=;91<7;50;2x 7d428ih7E5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2147=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f436i3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3?<7)<67;3`03=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0716?6==3:1=831b=o750;9l5`c=831vn<;?5;290?6=8r.9n>4>d49K6gc<@;h>7d?90;29?l7>=3:17d51694?2=83:p(?l<:0f6?M4em2B9n85f17294?=n90?1<75f2`594?=h9lo1<75rb073`?6=>3:15<5<5<<>4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=8>l:185>5<7s-8i?7?ld:J1f`=O:k?0(=831b=o750;9j5gg=831d=hk50;9~f437:3:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6=9h1<7850;2x 7d428io7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1422>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:9=>50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<;?9;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9<:36=49:183!4e;3;hh6F=bd9K6g3<,8hh6:5+28595f5e3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:9<>50;494?6|,;h86N5j<1/=om5b:&1=2<6k:h0e2900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1424>5<2290;w)N5jl1C>o;4$0``>01<,;3<6i:186>5<7s-8i?7?lc:J1f`=O:k?0(b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9=l96=4;:183!4e;3;o96F=bd9K6g35<5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=9hn:185>5<7s-8i?7?ld:J1f`=O:k?0(=831b=o750;9j5gg=831d=hk50;9~f42a83:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm15ge>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:8hk50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<:i7;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9=l=6=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb06fg?6=<3:15;h0b3?6=3f;ni7>5;|`20c3=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=l2.95:4>c378m4d12900e"6jj0=7)<67;3`60=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8>nn7>54;294~"5j:0:h85G2cg8L7d23`;=<7>5;h3:1?6=3`8j;7>5;n3fa?6=3th:8k:50;794?6|,;h86N5j<1/=om5569'6<1=9m>=7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd65;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`20`7=83>1<7>t$3`0>4b23A8ii6F=b49j536=831b=4;50;9j6d1=831d=hk50;9~f42b83:187>50z&1f6<6l<1C>ok4H3`6?l7183:17d?65;29?l4f?3:17b?je;29?xd6b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm15fe>5<3290;w)N5jl1C>o;4i043>5<6=44i3c4>5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:8ik50;694?6|,;h86N5j<1b=;>50;9j5<3=831b>l950;9l5`c=831vn<:j6;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;68m4d12900eo6>90;66g>9483>>o5i>0;66a>ed83>>{e9=o>6=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb06gg?6=<3:15;h0b3?6=3f;ni7>5;|`20`2=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=9jm:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;e283>3<729q/>o=51bf8L7db3A8i96*>bb84?!4>?3;o?o5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb06fe?6=>3:1o6>90;66g>9483>>o5i>0;66a>ed83>>{e9=n26=49:183!4e;3;hh6F=bd9K6g3<,8hh695f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb06g4?6=<3:15;h0b3?6=3f;ni7>5;|`20a>=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=<2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=9mi:187>5<7s-8i?7?k5:J1f`=O:k?0e<8?:188m4?22900e?o8:188k4cb2900qo?;d683>3<729q/>o=51bf8L7db3A8i96*>bb87?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd67Eo:7>56;294~"5j:0:oi5G2cg8L7d23-;io7:4i0`5>5<5<5<4>d49K6gc<@;h>7d?90;29?l7>=3:17d4e694?0=83:p(?l<:0ag?M4em2B9n85+1ca9`>"51>0:h>>4i0`5>5<5<5<5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j;:6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b2e>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n>j:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j:o6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b2a>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n>n:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j:26=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b25>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n>::180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j:?6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b21>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n>>:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j:;6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1cdf>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=ohk:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j;j6=4<:183!4e;38ih6F=bd9K6g35<29086=4?{%0a7?4el2B9nh5G2c78m4072900e1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b3;>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n?8:180>5<7s-8i?70;694?:1y'6g5=9m?0D?lj;I0a1>o6>90;66g>9483>>o5i>0;66a>ed83>>{e9j;=6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm1b31>5<4290;w)N5jl1C>o;4i043>5<6=44o0gf>5<4?:583>5}#:k91=i;4H3`f?M4e=2c::=4?::k2=0<722c9m:4?::m2a`<722wi=n>8:180>5<7s-8i?7o6>90;66g>9483>>o5i>0;66a>ed83>>{e9klh6=4<:183!4e;38ih6F=bd9K6g35<1<729q/>o=51e78L7db3A8i96g>6183>>o61<0;66g=a683>>i6ml0;66sm76494?3=83:p(?l<:0a`?M4em2B9n85+1ca956=#:0=1>k84i0`5>5<5<5<55;294~"5j:0:on5G2cg8L7d23-;io7?n4:&1=2<5n?1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f213290>6=4?{%0a7?7dk2B9nh5G2c78 4dd2890(?78:3d6?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl87283>0<729q/>o=51ba8L7db3A8i96*>bb82e1=#:0=1>k;4i0`5>5<5<5<7>55;294~"5j:0:on5G2cg8L7d23-;io7?n4:&1=2<5n=1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f21>290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28k?7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd0?>0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0:?6*=9681b1=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb73a>5<3290;w)N5jl1C>o;4$0``>=6<,;3<6?h7;h3a2?6=3`;i;7>5;h3a5;|`434<72<0;6=u+2c195fe<@;hn7E=831b=o750;9l5`c=831vn:97:186>5<7s-8i?7?lc:J1f`=O:k?0(o0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0:?6*=9681b6=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb653>5<2290;w)N5jl1C>o;4$0``>45<,;3<6?h<;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3thjji4?:583>5}#:k91=nl4H3`f?M4e=2.:nn4=0:k2f3<722c:n:4?::k2f=<722e:ih4?::af5g=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=:91/>49530:8m4d12900e50z&1f6<6kk1C>ok4H3`6?!7ek38;7)<67;12<>o6j?0;66g>b683>>o6j10;66a>ed83>>{ej891<7:50;2x 7d428ii7E=5+28597415<5<54;294~"5j:0:oo5G2cg8L7d23-;io7N5j<1/=om5219'6<1=;8<0e6;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;03?!4>?39::6g>b783>>o6j>0;66g>b983>>i6ml0;66smb0594?2=83:p(?l<:0aa?M4em2B9n85+1ca965=#:0=1?<;4i0`5>5<5<5:k2f3<722c:n:4?::k2f=<722e:ih4?::aece=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=:91/>4953018m4d12900e50z&1f6<6kk1C>ok4H3`6?!7ek38;7)<67;127>o6j?0;66g>b683>>o6j10;66a>ed83>>{eiol1<7:50;2x 7d428ii7E=5+28597445<5<54;294~"5j:0:oo5G2cg8L7d23-;io7N5j<1/=om5219'6<1=;8;0e4>cc9K6gc<@;h>7)?mc;03?!4>?39:=6g>b783>>o6j>0;66g>b983>>i6ml0;66smb1194?2=83:p(?l<:0aa?M4em2B9n85+1ca965=#:0=1?<>4i0`5>5<5<0:k2f3<722c:n:4?::k2f=<722e:ih4?::af53=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=:91/>49531d8m4d12900e3:187>50z&1f6<6kk1C>ok4H3`6?!7ek38;7)<67;13b>o6j?0;66g>b683>>o6j10;66a>ed83>>{ej9=1<7:50;2x 7d428ii7E=5+28597425<5<54;294~"5j:0:oo5G2cg8L7d23-;io7N5j<1/=om53d9'6<1=;9o0e4>cc9K6gc<@;h>7)?mc;03?!4>?39;h6g>b783>>o6j>0;66g>b983>>i6ml0;66smb1a94?2=83:p(?l<:0aa?M4em2B9n85+1ca965=#:0=1?=j4i0`5>5<5<1<7>t$3`0>4ee3A8ii6F=b49'5ge=:91/>49531a8m4d12900e50z&1f6<6kk1C>ok4H3`6?!7ek38;7)<67;120>o6j?0;66g>b683>>o6j10;66a>ed83>>{ej8:1<7:50;2x 7d428ii7E=5+285975d5<5<54;294~"5j:0:oo5G2cg8L7d23-;io7N5j<1/=om5349j5g0=831b=o950;9l5`c=831vn5?i:180>5<7s-8i?7?la:J1f`=O:k?0(d;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;16?l7e>3:17d?m7;29?j7bm3:17pl71c83>6<729q/>o=51bc8L7db3A8i96*>bb801>o6j?0;66g>b683>>i6ml0;66sm80;94?5=83:p(?l<:0ab?M4em2B9n85+1ca970=n9k<1<75f1c594?=h9lo1<75rb934>5<4290;w)N5jl1C>o;4$0``>635<5;h3a3?6=3f;ni7>5;|`;56<72:0;6=u+2c195fg<@;hn7Et$3`0>4ef3A8ii6F=b49'5ge=;<1b=o850;9j5g1=831d=hk50;9~f=6c29086=4?{%0a7?7di2B9nh5G2c78 4dd2:?0e7j3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek39>7d?m6;29?l7e?3:17b?je;29?xd?800;6>4?:1y'6g5=9jk0D?lj;I0a1>"6jj0896g>b783>>o6j>0;66a>ed83>>{e09=1<7=50;2x 7d428ij7E6=4<:183!4e;3;hm6F=bd9K6g3<,8hh6>;4i0`5>5<5<53;294~"5j:0:ol5G2cg8L7d23-;io7=:;h3a2?6=3`;i;7>5;n3fa?6=3th3<<4?:283>5}#:k91=no4H3`f?M4e=2.:nn4<5:k2f3<722c:n:4?::m2a`<722wi;kh50;194?6|,;h86N5j<1/=om5349j5g0=831b=o950;9l5`c=831vn:hk:180>5<7s-8i?7?la:J1f`=O:k?0(4>c`9K6gc<@;h>7)?mc;16?l7e>3:17d?m7;29?j7bm3:17pl72g83>6<729q/>o=51bc8L7db3A8i96*>bb801>o6j?0;66g>b683>>i6ml0;66sm83f94?5=83:p(?l<:0ab?M4em2B9n85+1ca970=n9k<1<75f1c594?=h9lo1<75rb90a>5<4290;w)N5jl1C>o;4$0``>635<5;h3a3?6=3f;ni7>5;|`;62<72:0;6=u+2c195fg<@;hn7Et$3`0>4ef3A8ii6F=b49'5ge=;<1b=o850;9j5g1=831d=hk50;9~f=4429086=4?{%0a7?7di2B9nh5G2c78 4dd2:?0e7n3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek39>7d?m6;29?l7e?3:17b?je;29?xd0n00;6>4?:1y'6g5=9jk0D?lj;I0a1>"6jj0896g>b783>>o6j>0;66a>ed83>>{e?o=1<7=50;2x 7d428ij7E54;294~"5j:0:oo5G2cg8L7d23-;io75;h3a5;|`17d2=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=:91/>49531c8m4d12900e"6jj09<6*=96804d=n9k<1<75f1c594?=n9k21<75`1dg94?=zj;9jh7>54;294~"5j:0:oo5G2cg8L7d23-;io7>oj:187>5<7s-8i?7?lb:J1f`=O:k?0(5<5<=831d=hk50;9~f75e93:187>50z&1f6<6kk1C>ok4H3`6?!7ek39n7)<67;133>o6j?0;66g>b683>>o6j10;66a>ed83>>{e::h96=4;:183!4e;3;hn6F=bd9K6g3<,8hh6>k4$3;4>6603`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th9?4o50;694?6|,;h86N5j<1/=om53d9'6<1=;9<0e1<729q/>o=51b`8L7db3A8i96*>bb80a>"51>08<85f1c494?=n9k=1<75f1c:94?=h9lo1<75rb31:g?6=<3:13:17d?m7;29?l7e03:17b?je;29?xd5;0o1<7:50;2x 7d428ii7E5<5<5}#:k91=nl4H3`f?M4e=2.:nn44>cc9K6gc<@;h>7)?mc;1f?!4>?39;?6g>b783>>o6j>0;66g>b983>>i6ml0;66sm22c2>5<3290;w)N5jl1C>o;4$0``>6c<,;3<6>>=;h3a2?6=3`;i;7>5;h3a5;|`17d4=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=;l1/>4953108m4d12900e"6jj09<6*=968044=n9k<1<75f1c594?=n9k21<75`1dg94?=zj;9j:7>54;294~"5j:0:oo5G2cg8L7d23-;io7>o8:187>5<7s-8i?7?lb:J1f`=O:k?0(4i0`5>5<5<=831d=hk50;9~f75fi3:187>50z&1f6<6kk1C>ok4H3`6?!7ek38;7)<67;0eb>o6j?0;66g>b683>>o6j10;66a>ed83>>{e::ki6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6?>4$3;4>7`a3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th9?nl50;694?6|,;h86N5j<1/=om5219'6<1=;830e1<729q/>o=51b`8L7db3A8i96*>bb814>"51>09jh5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb31``?6=<3:13:17d?m7;29?l7e03:17b?je;29?xd5;jl1<7:50;2x 7d428ii7E=5+28596cb5<5<5}#:k91=nl4H3`f?M4e=2.:nn4=0:&1=2<4901b=o850;9j5g1=831b=o650;9l5`c=831vn?=mc;297?6=8r.9n>4>c`9K6gc<@;h>7)?mc;16?!4>?399>6g>b783>>o6j>0;66a>ed83>>{e::i?6=4<:183!4e;3;hm6F=bd9K6g3<,8hh6>;4$3;4>6413`;i:7>5;h3a3?6=3f;ni7>5;|`17f3=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=;<1/>4953378m4d12900e6<729q/>o=51bc8L7db3A8i96*>bb801>"51>08>85f1c494?=n9k=1<75`1dg94?=zj;9h;7>53;294~"5j:0:ol5G2cg8L7d23-;io7=:;%0:3?55<2c:n;4?::k2f2<722e:ih4?::a66e?29086=4?{%0a7?7di2B9nh5G2c78 4dd2:?0(?78:207?l7e>3:17d?m7;29?j7bm3:17pl=3b;94?5=83:p(?l<:0ab?M4em2B9n85+1ca970=#:0=1??=4i0`5>5<5<5}#:k91=no4H3`f?M4e=2.:nn4<5:&1=2<4::1b=o850;9j5g1=831d=hk50;9~f75e;3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek39>7)<67;115>o6j?0;66g>b683>>i6ml0;66sm22`7>5<4290;w)N5jl1C>o;4$0``>63<,;3<6><>;h3a2?6=3`;i;7>5;n3fa?6=3th9?o;50;194?6|,;h86N5j<1/=om5349'6<1=;;:0e4?:1y'6g5=9jk0D?lj;I0a1>"6jj0896*=968067=n9k<1<75f1c594?=h9lo1<75rb31a3?6=;3:1>l7:180>5<7s-8i?7?la:J1f`=O:k?0(5<4>c`9K6gc<@;h>7)?mc;16?!4>?39:i6g>b783>>o6j>0;66a>ed83>>{e::ho6=4<:183!4e;3;hm6F=bd9K6g3<,8hh6>;4$3;4>67c3`;i:7>5;h3a3?6=3f;ni7>5;|`17gc=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=;<1/>49530f8m4d12900e6<729q/>o=51bc8L7db3A8i96*>bb801>"51>08=n5f1c494?=n9k=1<75`1dg94?=zj;9h<7>53;294~"5j:0:ol5G2cg8L7d23-;io7=:;%0:3?56k2c:n;4?::k2f2<722e:ih4?::a66e629086=4?{%0a7?7di2B9nh5G2c78 4dd2:?0(?78:205?l7e>3:17d?m7;29?j7bm3:17pl=3b094?5=83:p(?l<:0ab?M4em2B9n85+1ca970=#:0=1?5<5<4?:283>5}#:k91=no4H3`f?M4e=2.:nn4<5:&1=2<49k1b=o850;9j5g1=831d=hk50;9~f37f29086=4?{%0a7?7di2B9nh5G2c78 4dd2<<0(?78:3d;?l7e>3:17d?m7;29?j7bm3:17pl;7783>1<729q/>o=51b`8L7db3A8i96*>bb82e5=#:0=1>ko4i0`5>5<5<=831d=hk50;9~f4d>13:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681bg=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h2m7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<49h1b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>08=l5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`3a?6=<3:1j2.95:4=c69j5g0=831b=o950;9j5g>=831d=hk50;9~f4d5=3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681a3=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h8>7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5m91b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09hk5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`00?6=<3:1j2.95:4=dd9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d4=3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681`a=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h8:7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5lj1b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09ho5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`0j2.95:4=d`9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d7l3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681`<=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h:n7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5l11b=o850;9j5g1=831b=o650;9l5`c=831vnc;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;3:f>"51>09h:5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`2`?6=<3:1j2.95:4=c89j5g0=831b=o950;9j5g>=831d=hk50;9~f4d6m3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681`3=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h:j7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5l<1b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09h95f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`15?6=<3:1j2.95:4=d29j5g0=831b=o950;9j5g>=831d=hk50;9~f4d5:3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681`7=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h9?7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5l81b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09h=5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`12?6=<3:1j2.95:4=cg9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d5?3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6*=9681g`=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h947>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5km1b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09oo5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`1e?6=<3:1j2.95:4=cb9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d5j3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;0eg>o6j?0;66g>b683>>i6ml0;66sm1c0`>5<3290;w)N5jl1C>o;4$0``>4?e3-82;7o6j?0;66g>b683>>o6j10;66a>ed83>>{e9k8n6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;%0:3?4b<2c:n;4?::k2f2<722c:n54?::m2a`<722wi=o5<7s-8i?7?lb:J1f`=O:k?0(?38n?6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1c13>5<3290;w)N5jl1C>o;4$0``>4?e3-82;7o6j?0;66g>b683>>o6j10;66a>ed83>>{e90>:6=4<:183!4e;3;hm6F=bd9K6g3<,8hh69k4i0`5>5<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9'6<1=:lh0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83?87>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5<22290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>95494?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=no4H3`f?M4e=2.:nn4;e:k2f3<722c:n:4?::m2a`<722wi=4;=:180>5<7s-8i?7?la:J1f`=O:k?0(1<729q/>o=51b`8L7db3A8i96*>bb82=g=#:0=1>ho4i0`5>5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e90?<6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2=0>=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83>h7>53;294~"5j:0:ol5G2cg8L7d23-;io7?87:k2f3<722c:n:4?::m2a`<722wi=48::187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6154;294~"5j:0:oo5G2cg8L7d23-;io7?6b:&1=2<5m01b=o850;9j5g1=831b=o650;9l5`c=831vn<79c;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e905;h3a5;|`2=3c=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83<<7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5<15290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>97c94?5=83:p(?l<:0ab?M4em2B9n85+1ca95215<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e90=<6=4<:183!4e;3;hm6F=bd9K6g3<,8hh6<98;h3a2?6=3`;i;7>5;n3fa?6=3th:55850;694?6|,;h86N5j<1/=om518`8 7?02;o37d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>99594?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4??13:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm18:b>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:55l50;694?6|,;h86N5j<1/=om518`8m4d12900e0m0;694?:1y'6g5=9jh0D?lj;I0a1>"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0;;b?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=46::180>5<7s-8i?7?la:J1f`=O:k?0(3:17d?m7;29?j7bm3:17pl>98`94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4?>83:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm18;7>5<4290;w)N5jl1C>o;4$0``>4103`;i:7>5;h3a3?6=3f;ni7>5;|`2=d3=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0(?78:3g4?l7e>3:17d?m7;29?l7e03:17b?je;29?xd61h<1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e90k26=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2=dg=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83ji7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a59`694?5=83:p(?l<:0ab?M4em2B9n85+1ca95215<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e90km6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2=g7=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e6<729q/>o=51bc8L7db3A8i96*>bb87a>o6j?0;66g>b683>>i6ml0;66sm18a;>5<3290;w)N5jl1C>o;4$0``>4?e3-82;7290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>9bc94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4?dk3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm18ag>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:5nh50;694?6|,;h86N5j<1/=om518`8m4d12900el80;694?:1y'6g5=9jh0D?lj;I0a1>"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0;`5?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=4m<:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd61j=1<7=50;2x 7d428ij7E5<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4?b;3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm18f1>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:5i;50;694?6|,;h86N5j<1/=om518`8m4d12900el>0;694?:1y'6g5=9jh0D?lj;I0a1>"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0;gf?6=;3:15;h3a3?6=3f;ni7>5;|`2=c6=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0(?78:3ge?l7e>3:17d?m7;29?l7e03:17b?je;29?xd61l<1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e90l86=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2=c2=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83m47>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a59d;94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4?bn3:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3;<;6g>b783>>o6j>0;66a>ed83>>{e9h:j6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e5e=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj83mo7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5<`b290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a1294?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=no4H3`f?M4e=2.:nn4>769j5g0=831b=o950;9l5`c=831vnb;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;3:f>"51>09ih5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0c3b?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=l?l:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6i8n1<7:50;2x 7d428ii7E5<5<f;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h8:6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e75=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k:>7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5d73290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a0494?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=no4H3`f?M4e=2.:nn4>769j5g0=831b=o950;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h926=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e72=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k957>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5d4e290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a3f94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=no4H3`f?M4e=2.:nn4>769j5g0=831b=o950;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;3:f>"51>09ii5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0c0g?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=l:m:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6i=i1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h?;6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e04=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k8j7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5d26290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a5194?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4g313:1?7>50z&1f6<6kh1C>ok4H3`6?!7ek3>n7d?m6;29?l7e?3:17b?je;29?xd6i?21<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h?=6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e0>=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k>o7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5d3b290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a7094?5=83:p(?l<:0ab?M4em2B9n85+1ca90`=n9k<1<75f1c594?=h9lo1<75rb0c4`?6=<3:1j2.95:4=f39j5g0=831b=o950;9j5g>=831d=hk50;9~f4g1l3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1`5f>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:m:h50;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0c;5?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=l6<:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6i1?1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h=96=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e22=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k<47>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5d1d29086=4?{%0a7?7di2B9nh5G2c78 4dd2=o0e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0c:b?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=l69:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6i131<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9h2m6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2e<7=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8k2;7>53;294~"5j:0:ol5G2cg8L7d23-;io7:j;h3a2?6=3`;i;7>5;n3fa?6=3th:mo:50;694?6|,;h86N5j<1/=om518`8 7?02;oh7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>a`094?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4ge>3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1``4>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:mo650;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0cag?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=lo<:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6ih?1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9hki6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2edb=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8ki?7>53;294~"5j:0:ol5G2cg8L7d23-;io7?87:k2f3<722c:n:4?::m2a`<722wi=lj9:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6im21<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9hi96=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2ef2=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8kh47>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5dee290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>abf94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=no4H3`f?M4e=2.:nn4;e:k2f3<722c:n:4?::m2a`<722wi=lh?:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6imh1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9hl96=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2ec5=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8km;7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5dbd290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>aeg94?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4gb:3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1`g7>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:mh950;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0cff?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=lki:180>5<7s-8i?7?la:J1f`=O:k?0(1<729q/>o=51b`8L7db3A8i96*>bb82=g=#:0=1>k?4i0`5>5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9k:26=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2f5d=8391<7>t$3`0>4ef3A8ii6F=b49'5ge=50z&1f6<6kh1C>ok4H3`6?!7ek3>n7)<67;11b>o6j?0;66g>b683>>i6ml0;66sm1c;1>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:n4=50;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`:1?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=o79:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6j0=1<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9k=j6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2f2d=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5g1a290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>b9294?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d?:3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1c:0>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:n5:50;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`;2?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=o68:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6j121<7:50;2x 7d428ii7E5<5<4>cc9K6gc<@;h>7)?mc;3:f>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9k2i6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6<7m;h3a2?6=3`;i;7>5;h3a5;|`2f=e=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=90h0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h3i7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5g>a290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>b8294?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f21d290=6=4?{%0a7?7dl2B9nh5G2c78 4dd2=1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn:9k:184>5<7s-8i?7?le:J1f`=O:k?0(=831b=o750;9j5gg=831b=ol50;9l5`c=831vnn>;:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pll0483>2<729q/>o=51bg8L7db3A8i96*>bb82f4=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rbc;;>5<0290;w)N5jl1C>o;4$0``>4d63`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`a=<<72>0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;i=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e:=?m6=48:183!4e;3;hi6F=bd9K6g3<,8hh6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th98;>50;494?6|,;h86N5j<1/=om5839j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f75a13:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;i=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e::lj6=48:183!4e;3;hi6F=bd9K6g3<,8hh6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th9?kl50;494?6|,;h86N5j<1/=om5839j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f725k3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;i=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e:=8o6=48:183!4e;3;hi6F=bd9K6g3<,8hh6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th98?k50;494?6|,;h86N5j<1/=om5839j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f3c7290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28h:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd1m80;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:n<5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj?o96=49:183!4e;3;hh6F=bd9K6g3<,8hh65<4i0`5>5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9k;0e2900e50z&1f6<6km1C>ok4H3`6?!7ek3297d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pll0b83>2<729q/>o=51bg8L7db3A8i96*>bb87g>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smc1f94?1=83:p(?l<:0af?M4em2B9n85+1ca90f=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rbb2f>5<0290;w)N5jl1C>o;4$0``>1e5<5<5<63`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`ae5<72>0;6=u+2c195fc<@;hn7Et$3`0>4eb3A8ii6F=b49'5ge=2900e50z&1f6<6kj1C>ok4H3`6?!7ek32:7d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xdd8>0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:n<5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zjj:36=48:183!4e;3;hi6F=bd9K6g3<,8hh6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thh<44?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>b09j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnn>n:186>5<7s-8i?7?lc:J1f`=O:k?0(4>cd9K6gc<@;h>7)?mc;3a5>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smb8a94?1=83:p(?l<:0af?M4em2B9n85+1ca95g75<5<5<t$3`0>4ed3A8ii6F=b49'5ge=;o1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f76113:187>50z&1f6<6kk1C>ok4H3`6?!7ek39<7)<67;11a>o6j?0;66g>b683>>o6j10;66a>ed83>>{e:9<<6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6>94$3;4>64d3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th9<;;50;694?6|,;h86N5j<1/=om5369'6<1=;;o0e1<729q/>o=51b`8L7db3A8i96*>bb803>"51>08>o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb3255?6=<3:13:17d?m7;29?l7e03:17b?je;29?xd585<5<5}#:k91=nl4H3`f?M4e=2.:nn4<7:&1=2<4:j1b=o850;9j5g1=831b=o650;9l5`c=831vn?>:8;290?6=8r.9n>4>cc9K6gc<@;h>7)?mc;14?!4>?39956g>b783>>o6j>0;66g>b983>>i6ml0;66sm2175>5<3290;w)N5jl1C>o;4$0``>61<,;3<6>5;h3a5;|`1402=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=;>1/>49533:8m4d12900e"6jj08;6*=96806d=n9k<1<75f1c594?=n9k21<75`1dg94?=zj;:><7>54;294~"5j:0:oo5G2cg8L7d23-;io7=8;%0:3?5502c:n;4?::k2f2<722c:n54?::m2a`<722wi>=:j:187>5<7s-8i?7?lb:J1f`=O:k?0(5<5<=831d=hk50;9~f76am3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek390e2900e"6jj087d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd599:1<7950;2x 7d428in7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e:8::6=48:183!4e;3;hi6F=bd9K6g3<,8hh6>5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj;;;>7>57;294~"5j:0:oh5G2cg8L7d23-;io7=4i0`5>5<5<5<5<4?:283>5}#:k91=no4H3`f?M4e=2.:nn4>7:&1=2<5n01b=o850;9j5g1=831d=hk50;9~f777<3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3?;7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd4;?0;6=4?:1yK6g3<,;h86?l?;M0a4?7|,;h96?7<;|a64e629096=4?{%0a7?7d12B9nh5G2c78 4dd28l0ebd83>7<729q/>o=51b;8L7db3A8i96*>bb82b>o6j?0;66a>ed83>>{e:8hh6=4=:183!4e;3;h56F=bd9K6g3<,8hh65<5<7s-8i?7?l9:J1f`=O:k?0("6jj0:j6g>b783>>i6ml0;66sm8cd94?4=83:p(?l<:0a:?M4em2B9n85+1ca95c=n9k<1<75`1dg94?=zj1hi6=4=:183!4e;3;h56F=bd9K6g3<,8hh65<5;n3fa?6=3th3n:4?:383>5}#:k91=n74H3`f?M4e=2.:nn4>f:k2f3<722e:ih4?::at$3`0>4e>3A8ii6F=b49'5ge=9o1b=o850;9l5`c=831vn5l<:181>5<7s-8i?7?l9:J1f`=O:k?0(e93:1>7>50z&1f6<6k01C>ok4H3`6?!7ek3;m7d?m6;29?j7bm3:17pl7ag83>7<729q/>o=51b;8L7db3A8i96*>bb82b>o6j?0;66a>ed83>>{e0hn1<7<50;2x 7d428i27E5<5290;w)N5jl1C>o;4$0``>4`5<52;294~"5j:0:o45G2cg8L7d23-;io7?i;h3a2?6=3f;ni7>5;|`;e0<72;0;6=u+2c195f?<@;hn7EN5j<1/=om51g9j5g0=831d=hk50;9~f=g629096=4?{%0a7?7d12B9nh5G2c78 4dd28l0e4>c89K6gc<@;h>7)?mc;3e?l7e>3:17b?je;29?xd?1m0;6?4?:1y'6g5=9j30D?lj;I0a1>"6jj0:j6g>b783>>i6ml0;66sm88`94?4=83:p(?l<:0a:?M4em2B9n85+1ca95c=n9k<1<75`1dg94?=zj1326=4=:183!4e;3;h56F=bd9K6g3<,8hh65<5;n3fa?6=3th3584?:383>5}#:k91=n74H3`f?M4e=2.:nn4>f:k2f3<722e:ih4?::a<<5=8381<7>t$3`0>4e>3A8ii6F=b49'5ge=9o1b=o850;9l5`c=831vn5mm:181>5<7s-8i?7?l9:J1f`=O:k?0(d13:1>7>50z&1f6<6k01C>ok4H3`6?!7ek3;m7d?m6;29?j7bm3:17pl7c683>7<729q/>o=51b;8L7db3A8i96*>bb82b>o6j?0;66a>ed83>>{e0j?1<7<50;2x 7d428i27E5<5290;w)N5jl1C>o;4$0``>4`5<52;294~"5j:0:o45G2cg8L7d23-;io7?i;h3a2?6=3f;ni7>5;|`;fa<72;0;6=u+2c195f?<@;hn7EN5j<1/=om51g9j5g0=831d=hk50;9~f=?629096=4?{%0a7?7d12B9nh5G2c78 4dd28l0ee;296?6=8r.9n>4>c89K6gc<@;h>7)?mc;3e?l7e>3:17b?je;29?xd>9h0;6?4?:1y'6g5=9j30D?lj;I0a1>"6jj0:j6g>b783>>i6ml0;66sm90:94?4=83:p(?l<:0a:?M4em2B9n85+1ca95c=n9k<1<75`1dg94?=zj0;=6=4=:183!4e;3;h56F=bd9K6g3<,8hh65<5;n3fa?6=3th2=?4?:383>5}#:k91=n74H3`f?M4e=2.:nn4>f:k2f3<722e:ih4?::a=46=8381<7>t$3`0>4e>3A8ii6F=b49'5ge=9o1b=o850;9l5`c=831vn4>j:181>5<7s-8i?7?l9:J1f`=O:k?0(7>50z&1f6<6k01C>ok4H3`6?!7ek3;m7d?m6;29?j7bm3:17pl60`83>7<729q/>o=51b;8L7db3A8i96*>bb82b>o6j?0;66a>ed83>>{e1921<7<50;2x 7d428i27E5<5290;w)N5jl1C>o;4$0``>4`5<7>52;294~"5j:0:o45G2cg8L7d23-;io7?i;h3a2?6=3f;ni7>5;|`:45<72;0;6=u+2c195f?<@;hn7EN5j<1/=om51g9j5g0=831d=hk50;9~f=`d29096=4?{%0a7?7d12B9nh5G2c78 4dd28l0e4>c89K6gc<@;h>7)?mc;3e?l7e>3:17b?je;29?xd?n10;6?4?:1y'6g5=9j30D?lj;I0a1>"6jj0:j6g>b783>>i6ml0;66sm8g494?4=83:p(?l<:0a:?M4em2B9n85+1ca95c=n9k<1<75`1dg94?=zj1l?6=4=:183!4e;3;h56F=bd9K6g3<,8hh65<5;n3fa?6=3th2>l4?:383>5}#:k91=n74H3`f?M4e=2.:nn4>f:k2f3<722e:ih4?::a=7>=8381<7>t$3`0>4e>3A8ii6F=b49'5ge=9o1b=o850;9l5`c=831vn4<9:181>5<7s-8i?7?l9:J1f`=O:k?0(7>50z&1f6<6k01C>ok4H3`6?!7ek3;m7d?m6;29?j7bm3:17pl62383>7<729q/>o=51b;8L7db3A8i96*>bb82b>o6j?0;66a>ed83>>{e1;:1<7<50;2x 7d428i27E5<5290;w)N5jl1C>o;4$0``>4`5<52;294~"5j:0:o45G2cg8L7d23-;io7?i;h3a2?6=3f;ni7>5;|`;b5<72;0;6=u+2c195f?<@;hn7EN5j<1/=om51g9j5g0=831d=hk50;9~f<2129096=4?{%0a7?7d12B9nh5G2c78 4dd28l0e1<729q/>o=51b`8L7db3A8i96*>bb82=g=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8h:?7>54;294~"5j:0:oo5G2cg8L7d23-;io7?6b:k2f3<722c:n:4?::k2f=<722e:ih4?::a5g73290?6=4?{%0a7?7dj2B9nh5G2c78 4dd283i7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>b0794?2=83:p(?l<:0aa?M4em2B9n85+1ca955<5<5}#:k91=nl4H3`f?M4e=2.:nn4>9c9j5g0=831b=o950;9j5g>=831d=hk50;9~f4d6?3:187>50z&1f6<6kk1C>ok4H3`6?!7ek3;2n6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1c3;>5<3290;w)N5jl1C>o;4$0``>4?e3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:n<750;694?6|,;h86N5j<1/=om518`8m4d12900e"6jj0:5o5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0`24?6=<3:1j2c:n;4?::k2f2<722c:n54?::m2a`<722wi=o?>:187>5<7s-8i?7?lb:J1f`=O:k?0(3:17d?m7;29?l7e03:17b?je;29?xd6j881<7:50;2x 7d428ii7E5<5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`:6g<72>0;6=u+2c195fc<@;hn7E:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd60l81<7950;2x 7d428in7E5<5<5<5<:4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th9<;650;594?6|,;h86N5j<1/=om5189j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn?>96;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl=07694?1=83:p(?l<:0af?M4em2B9n85+1ca95<=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb3256?6=?3:13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`1436=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=901b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f762m3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;27d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd58m7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th9<8950;594?6|,;h86N5j<1/=om5189j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn?>:5;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl=04194?1=83:p(?l<:0af?M4em2B9n85+1ca95<=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb3265?6=?3:13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`141`=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=901b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f763l3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;27d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd58=h1<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th9<9950;594?6|,;h86N5j<1/=om5189j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn?>;6;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17plj8183>2<729q/>o=51bg8L7db3A8i96*>bb875>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm76g94?1=83:p(?l<:0af?M4em2B9n85+1ca917=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb517>5<2290;w)N5jl1C>o;4$0``>125<5<0;6=u+2c195fc<@;hn7E2900e4>ce9K6gc<@;h>7)?mc;g0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd?>;0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0n?6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm87394?0=83:p(?l<:0ag?M4em2B9n85+1ca9a6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj1<;6=49:183!4e;3;hh6F=bd9K6g3<,8hh6h=4i0`5>5<5<5<3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th39h4?:783>5}#:k91=nj4H3`f?M4e=2.:nn4j3:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a<0b=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=m:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn5;l:185>5<7s-8i?7?ld:J1f`=O:k?0(2i3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3o87d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl75883>3<729q/>o=51bf8L7db3A8i96*>bb8f7>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e0<21<7850;2x 7d428io7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb974>5<1290;w)N5jl1C>o;4$0``>`55<5<5<:7>56;294~"5j:0:oi5G2cg8L7d23-;io7k<;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`;10<72?0;6=u+2c195fb<@;hn7EN5j<1/=om5e29j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f=34290=6=4?{%0a7?7dl2B9nh5G2c78 4dd2l90e2900e4>ce9K6gc<@;h>7)?mc;g0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd?=80;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0n?6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm87g94?0=83:p(?l<:0ag?M4em2B9n85+1ca9a6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj15<5<5<3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th3:o4?:783>5}#:k91=nj4H3`f?M4e=2.:nn4j3:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a<3g=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=m:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn586:185>5<7s-8i?7?ld:J1f`=O:k?0(1>3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3o87d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl75c83>3<729q/>o=51bf8L7db3A8i96*>bb8f7>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e0<:1<7850;2x 7d428io7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb96e>5<1290;w)N5jl1C>o;4$0``>`55<5<5<5}#:k91=nl4H3`f?M4e=2.:nn4j2:k2f3<722c:n:4?::k2f=<722e:ih4?::a64b?290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2l80ed683>1<729q/>o=51b`8L7db3A8i96*>bb8f6>o6j?0;66g>b683>>o6j10;66a>ed83>>{e:8n=6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6h<4i0`5>5<5<5<7s-8i?7?lb:J1f`=O:k?0("6jj0n>6g>b783>>o6j>0;66g>b983>>i6ml0;66sm20f2>5<3290;w)N5jl1C>o;4$0``>`45<5<5}#:k91=nl4H3`f?M4e=2.:nn4j2:k2f3<722c:n:4?::k2f=<722e:ih4?::a64ea290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2l80ecd83>1<729q/>o=51b`8L7db3A8i96*>bb8f6>o6j?0;66g>b683>>o6j10;66a>ed83>>{e:8io6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6h<4i0`5>5<5<5<7s-8i?7?lb:J1f`=O:k?0("6jj0n>6g>b783>>o6j>0;66g>b983>>i6ml0;66sm20g4>5<3290;w)N5jl1C>o;4$0``>`45<5<5}#:k91=nl4H3`f?M4e=2.:nn4j2:k2f3<722c:n:4?::k2f=<722e:ih4?::a64c2290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2l80ee583>1<729q/>o=51b`8L7db3A8i96*>bb8f6>o6j?0;66g>b683>>o6j10;66a>ed83>>{e:8o86=4;:183!4e;3;hn6F=bd9K6g3<,8hh6h<4i0`5>5<5<5<7s-8i?7?lb:J1f`=O:k?0("6jj0n>6g>b783>>o6j>0;66g>b983>>i6ml0;66sm20a:>5<3290;w)N5jl1C>o;4$0``>`45<5<5}#:k91=nl4H3`f?M4e=2.:nn4j2:k2f3<722c:n:4?::k2f=<722e:ih4?::a761=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge==<1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f423<3:187>50z&1f6<6kk1C>ok4H3`6?!7ek39<7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pl>87794?5=83:p(?l<:0ab?M4em2B9n85+1ca90==n9k<1<75f1c594?=h9lo1<75rb05a5?6=?3:15<5<5<"6jj0>7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6>:21<7950;2x 7d428in7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e95<5<5>4?:283>5}#:k91=no4H3`f?M4e=2.:nn4;8:k2f3<722c:n:4?::m2a`<722wi=896:184>5<7s-8i?7?le:J1f`=O:k?0(=831b=o750;9j5gg=831b=ol50;9l5`c=831vnh7;:185>5<7s-8i?7?ld:J1f`=O:k?0("6jj0>7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd65<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?ne:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722win>?50;594?6|,;h86N5j<1/=om51`g8m4d12900e4>cd9K6gc<@;h>7)?mc;3ba>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smb2194?1=83:p(?l<:0af?M4em2B9n85+1ca95dc5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9ho0e2900e3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;ji6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{ej:=1<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?ne:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722winN5j<1/=om51`g8m4d12900eb;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3ba>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smb0a94?1=83:p(?l<:0af?M4em2B9n85+1ca95dc5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9ho0e2900e50z&1f6<6kl1C>ok4H3`6?!7ek3;ji6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{ej;:1<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?ne:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722win?<50;594?6|,;h86N5j<1/=om51`g8m4d12900e4>cd9K6gc<@;h>7)?mc;3ba>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smb3694?1=83:p(?l<:0af?M4em2B9n85+1ca95dc5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9ho0e2900e50z&1f6<6kl1C>ok4H3`6?!7ek3;ji6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{ej;21<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?ne:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722win?m50;594?6|,;h86N5j<1/=om51`g8m4d12900e4>cd9K6gc<@;h>7)?mc;3ba>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smb3g94?1=83:p(?l<:0af?M4em2B9n85+1ca95dc5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9ho0e2900e"6jj0>:186>5<7s-8i?7?lc:J1f`=O:k?0(5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9k?=6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2f01=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8h>57>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5g5>290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>b2c94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4d4k3:197>50z&1f6<6kj1C>ok4H3`6?!7ek3;2?6*=96806c=n9k<1<75f1c594?=n9k21<75f1c;94?=h9lo1<75rb0`0`?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=o=j:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6j:l1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9k>96=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2f15=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8h?:7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5g20290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>b5:94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4d3i3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1c6a>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:n9j50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0`7b?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=o;?:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6j<;1<7850;2x 7d428io7E5<5<5<4>cc9K6gc<@;h>7)?mc;14?!4>?38n:6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1g4b>5<3290;w)N5jl1C>o;4$0``>61<,;3<6?k:;h3a2?6=3`;i;7>5;h3a5;|`2b3>=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=;>1/>4952d68m4d12900e?0;694?:1y'6g5=9jh0D?lj;I0a1>"6jj08;6*=9681a6=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8l=87>54;294~"5j:0:oo5G2cg8L7d23-;io7=8;%0:3?4b:2c:n;4?::k2f2<722c:n54?::m2a`<722wi=k8=:187>5<7s-8i?7?lb:J1f`=O:k?0(f7294?2=83:p(?l<:0aa?M4em2B9n85+1ca972=#:0=1>h>4i0`5>5<5<=831d=hk50;9~f4`2k3:187>50z&1f6<6kk1C>ok4H3`6?!7ek39<7)<67;0ga>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9o?j6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6>94$3;4>7bc3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:j::50;694?6|,;h86N5j<1/=om5369'6<1=:mi0e1<729q/>o=51b`8L7db3A8i96*>bb803>"51>09ho5f1c494?=n9k=1<75f1c:94?=h9lo1<75rb0d43:17d?m7;29?l7e03:17b?je;29?xd6n>i1<7:50;2x 7d428ii7E5<5<5}#:k91=nl4H3`f?M4e=2.:nn4<7:&1=2<5l>1b=o850;9j5g1=831b=o650;9l5`c=831vn4>cc9K6gc<@;h>7)?mc;14?!4>?38o:6g>b783>>o6j>0;66g>b983>>i6ml0;66sm1g:1>5<3290;w)N5jl1C>o;4$0``>61<,;3<6?j:;h3a2?6=3`;i;7>5;h3a5;|`2b=2=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=;>1/>4952e68m4d12900e"6jj08;6*=9681`6=n9k<1<75f1c594?=n9k21<75`1dg94?=zj8l347>54;294~"5j:0:oo5G2cg8L7d23-;io7=8;%0:3?4c:2c:n;4?::k2f2<722c:n54?::m2a`<722wi=k6n:187>5<7s-8i?7?lb:J1f`=O:k?0(f9a94?2=83:p(?l<:0aa?M4em2B9n85+1ca972=#:0=1>i>4i0`5>5<5<=831d=hk50;9~f4`>83:187>50z&1f6<6kk1C>ok4H3`6?!7ek39<7)<67;0`a>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9o396=4;:183!4e;3;hn6F=bd9K6g3<,8hh6>94$3;4>7ec3`;i:7>5;h3a3?6=3`;i47>5;n3fa?6=3th:j4:50;694?6|,;h86N5j<1/=om5369'6<1=:ji0e2<729q/>o=51bg8L7db3A8i96*>bb872>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm15;5>5<3290;w)N5jl1C>o;4$0``>615<5<l4?:583>5}#:k91=nl4H3`f?M4e=2.:nn4<7:k2f3<722c:n:4?::k2f=<722e:ih4?::aa=`=83>1<7>t$3`0>4ee3A8ii6F=b49'5ge=;>1b=o850;9j5g1=831b=o650;9l5`c=831vnho=:187>5<7s-8i?7?lb:J1f`=O:k?0(50z&1f6<6kk1C>ok4H3`6?!7ek39<7d?m6;29?l7e?3:17d?m8;29?j7bm3:17pljd083>1<729q/>o=51b`8L7db3A8i96*>bb803>o6j?0;66g>b683>>o6j10;66a>ed83>>{en9k1<7:50;2x 7d428ii7E5<3290;w)N5jl1C>o;4$0``>615<5<5}#:k91=nl4H3`f?M4e=2.:nn4<7:k2f3<722c:n:4?::k2f=<722e:ih4?::a54?7290?6=4?{%0a7?7dj2B9nh5G2c78 4dd2:=0ef083>1<729q/>o=51b`8L7db3A8i96*>bb803>o6j?0;66g>b683>>o6j10;66a>ed83>>{e9;9o6=4;:183!4e;3;hn6F=bd9K6g3<,8hh6>94i0`5>5<5<=?:187>5<7s-8i?7?lb:J1f`=O:k?0("6jj08;6g>b783>>o6j>0;66g>b983>>i6ml0;66sm12fe>5<3290;w)N5jl1C>o;4$0``>615<5<5}#:k91=nk4H3`f?M4e=2.:nn4>439j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;64?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17plj8983>3<729q/>o=51bf8L7db3A8i96*>bb8f5>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9=k>6=48:183!4e;3;hi6F=bd9K6g3<,8hh6?84i0`5>5<5<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>a:k2f3<722c:n:4?::k2f=<722c:n44?::m2a`<722wi=:68:184>5<7s-8i?7?le:J1f`=O:k?0(=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<9:e;291?6=8r.9n>4>cb9K6gc<@;h>7)?mc;3b?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>71f94?1=83:p(?l<:0af?M4em2B9n85+1ca9<>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm177:>5<2290;w)N5jl1C>o;4$0``>4g5<5<0;6=u+2c195fc<@;hn7E5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`21cd=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=9h1b=o850;9j5g1=831b=o650;9j5g?=831d=hk50;9~f43d03:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek320e2900e"6jj0:m6g>b783>>o6j>0;66g>b983>>o6j00;66a>ed83>>{e9<8:6=48:183!4e;3;hi6F=bd9K6g3<,8hh655f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8?;i7>55;294~"5j:0:on5G2cg8L7d23-;io7?n;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:8h750;594?6|,;h86N5j<1/=om58:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wii5850;594?6|,;h86N5j<1/=om5149j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<6j3;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;c8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82f5=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0;76?6=>3:12.95:4=f09j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?4k3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm181g>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:5>k50;494?6|,;h86N5j<1/=om51`48m4d12900e;o0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;74?6==3:1;2c:n;4?::k2f2<722c:n54?::k2f<<722e:ih4?::a5<2?290>6=4?{%0a7?7dk2B9nh5G2c78 4dd28387d?m6;29?l7e?3:17d?m8;29?l7e13:17b?je;29?xd61<91<7850;2x 7d428io7E7ce3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:59l50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;7`?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=4:j:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61=l1<7850;2x 7d428io7E5<5<5<50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm187`>5<2290;w)N5jl1C>o;4$0``>4?43`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3f;ni7>5;|`2=0`=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0(?78:3gb?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61?:1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e90<86=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2=32=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj83=47>55;294~"5j:0:on5G2cg8L7d23-;io7?n2:k2f3<722c:n:4?::k2f=<722c:n44?::m2a`<722wi=49>:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61>91<7850;2x 7d428io7E5<5<5<50z&1f6<6km1C>ok4H3`6?!7ek3;j:6*=9681a<=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj83<57>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5<1f290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>96`94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?0l3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm185e>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:55?50;494?6|,;h86N5j<1/=om51`48m4d12900e??0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0:m?5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj833o7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5<>b290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>99094?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>a39j5g0=831b=o950;9j5g>=831b=o750;9l5`c=831vn<765;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;3b2>"51>09i55f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;:2?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=478:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61021<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e903h6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2=t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj832?7>55;294~"5j:0:on5G2cg8L7d23-;io7?n2:k2f3<722c:n:4?::k2f=<722c:n44?::m2a`<722wi=4om:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61hn1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e90k86=4::183!4e;3;ho6F=bd9K6g3<,8hh65;h3a5;n3fa?6=3th:5o850;494?6|,;h86N5j<1/=om51`48 7?02;o<7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>9c594?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?e13:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm18`b>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:5ol50;494?6|,;h86N5j<1/=om51`48m4d12900ejm0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;ab?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=4l?:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd61k81<7850;2x 7d428io7E5<5<5<50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm18f3>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:5n>50;494?6|,;h86N5j<1/=om51`48m4d12900ek;0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;`0?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=4m9:186>5<7s-8i?7?lc:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>9ea94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d0<,;3<6?h?;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`2=a5=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj83oi7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a59d294?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?b<3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm18f7>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:5i850;494?6|,;h86N5j<1/=om51`48m4d12900el10;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0;ge?6==3:19g594?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?b03:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm18gb>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:5hm50;494?6|,;h86N5j<1/=om51`48m4d12900eml0;684?:1y'6g5=9ji0D?lj;I0a1>"6jj0:5>5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8k;97>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:&1=2<5mo1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<7ia;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9h:=6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2e51=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8k;57>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5d6e290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>a1f94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4?al3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm18de>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m=?50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m?5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8k9<7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5d45290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>a1g94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4g6;3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`36>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m<950;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m?5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8k8>7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:&1=2<5ml1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9h986=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2e62=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8k8:7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5d5?290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>a2c94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<;4?:783>5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4g503:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`0b>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m?m50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0c04?6==3:1a4394?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4g4m3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`63>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m9<50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0c72?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=l:7:186>5<7s-8i?7?lc:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl>a7194?0=83:p(?l<:0ag?M4em2B9n85+1ca95d0<,;3<6?kk;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`2e02=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8k=97>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5d01290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>a7594?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4g1j3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`76>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m8950;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0c6f?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=l;k:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6i5<5<5<50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`:7>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m;m50;494?6|,;h86N5j<1/=om51`48m4d12900eo0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0c45?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=l9<:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6i>?1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9h=i6=4::183!4e;3;ho6F=bd9K6g3<,8hh6<7<;h3a2?6=3`;i;7>5;h3a5;n3fa?6=3th:m4650;494?6|,;h86N5j<1/=om51`48 7?02;l97d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>a9594?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4g>i3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`;a>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:m4m50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0cb4?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=l67:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6i1k1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9h3;6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2e<4=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8k2:7>55;294~"5j:0:on5G2cg8L7d23-;io7?63:k2f3<722c:n:4?::k2f=<722c:n44?::m2a`<722wi=ll6:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6ikh1<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9hk=6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2ed>=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8kjo7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5dgb290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>ac294?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nm4H3`f?M4e=2.:nn4>929j5g0=831b=o950;9j5g>=831b=o750;9l5`c=831vn4>ce9K6gc<@;h>7)?mc;3b2>"51>09in5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0caa?6=>3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=lm6:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6im91<7850;2x 7d428io7E5<5<5<4>ce9K6gc<@;h>7)?mc;3b2>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9hn<6=49:183!4e;3;hh6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3f;ni7>5;|`2ea?=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9h<0e2900e3<729q/>o=51bf8L7db3A8i96*>bb82e3=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8kh=7>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5de4290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>ab794?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4gdi3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`a`>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:mnk50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m?5f1c494?=n9k=1<75f1c:94?=n9k31<75`1dg94?=zj8km87>56;294~"5j:0:oi5G2cg8L7d23-;io7?n6:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a5d`1290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28k=7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>aec94?0=83:p(?l<:0ag?M4em2B9n85+1ca95d05<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>a79j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f4gcn3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;j:6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm1`g2>5<1290;w)N5jl1C>o;4$0``>4g13`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:mh=50;494?6|,;h86N5j<1/=om51`48m4d12900e"6jj0:m;5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0cf3:12c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=lkn:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6ili1<7850;2x 7d428io7E5<5<5<50z&1f6<6kj1C>ok4H3`6?!7ek3=o7)<67;0`<>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sm1c25>5<2290;w)N5jl1C>o;4$0``>2b5<5<4>cd9K6gc<@;h>7)?mc;:0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>b6194?1=83:p(?l<:0af?M4em2B9n85+1ca9<6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0`40?6=?3:143`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f23=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=0:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f4d0>3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3287d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6j>=1<7950;2x 7d428in7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8h<47>57;294~"5j:0:oh5G2cg8L7d23-;io76<;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:n8o50;594?6|,;h86N5j<1/=om5829j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;:0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>b4a94?1=83:p(?l<:0af?M4em2B9n85+1ca9<6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0`6`?6=?3:143`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f0c=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=0:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f4d2n3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3287d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6j?:1<7950;2x 7d428in7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8h==7>57;294~"5j:0:oh5G2cg8L7d23-;io76<;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:n;<50;594?6|,;h86N5j<1/=om5829j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;:0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>b7694?1=83:p(?l<:0af?M4em2B9n85+1ca9<6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0`51?6=?3:143`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f30=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=0:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f4d103:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3287d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6j?31<7950;2x 7d428in7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8h=m7>57;294~"5j:0:oh5G2cg8L7d23-;io76<;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:n;m50;594?6|,;h86N5j<1/=om5829j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;:0?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>b7g94?1=83:p(?l<:0af?M4em2B9n85+1ca9<6=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0`5b?6=?3:143`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f26=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=0:1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f4d093:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3287d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6j>i1<7950;2x 7d428in7E5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8l<<7>54;294~"5j:0:oo5G2cg8L7d23-;io7=8;%0:3?5492c:n;4?::k2f2<722c:n54?::m2a`<722wi=k8j:187>5<7s-8i?7?lb:J1f`=O:k?0(f7a94?2=83:p(?l<:0aa?M4em2B9n85+1ca972=#:0=1>no4i0`5>5<5<0;6=u+2c195fc<@;hn7E2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::aa=2=83?1<7>t$3`0>4ed3A8ii6F=b49'5ge=90n0(?78:3a:?l7e>3:17d?m7;29?l7e03:17d?m9;29?j7bm3:17pl76983>2<729q/>o=51bg8L7db3A8i96*>bb8;0>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm87594?1=83:p(?l<:0af?M4em2B9n85+1ca95dc5<5<5<t$3`0>4eb3A8ii6F=b49'5ge=9h90e2900e"6jj0:8?5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8h;87>57;294~"5j:0:oh5G2cg8L7d23-;io7:9;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:n=;50;594?6|,;h86N5j<1/=om51508m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=d=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb73`>5<0290;w)N5jl1C>o;4$0``>f=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0;17?6=>3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:89=50;494?6|,;h86N5j<1/=om5509j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f423:3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9=>:6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:8>h50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb060`?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a515d290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6<:h1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<:<9;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm151;>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2061=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8>887>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=9=<:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>42094?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9=8m6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:8?k50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb061g?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a514e290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6<=k1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<:;8;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm1564>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2063=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge==81b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<:n4;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>4`194?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb06b6?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`20d6=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f42>n3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6<0o1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=97l:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>48`94?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb06:e?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a51?>290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8>2;7>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:84:50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm15;1>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`20<7=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f42>83:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9=2m6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<:7d;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>49a94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7Ej57>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=9o7:184>5<7s-8i?7?le:J1f`=O:k?0(3<729q/>o=51bf8L7db3A8i96*>bb82f>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9=k:6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7;;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:?h=50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb01f5?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a56c7290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6;mn1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<=kb;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>3ec94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=>j::184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb01g7?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`27a4=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9:n;6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:?nh50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<=le;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm12ag>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6;jk1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=>k7:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>3d594?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb01f1?6=>3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3th:?h:50;494?6|,;h86N5j<1/=om5509j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f45?>3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6;1?1<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:?5=50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<=72;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>39394?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb01;4?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a561a290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8957;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:?:m50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm125b>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`272?=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f45003:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9:=<6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<=85;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>36694?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E;1<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=>8i:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb01;g?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`27=g=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9=1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn<=79;292?6=8r.9n>4>ce9K6gc<@;h>7)?mc;72?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd6;;o1<7950;2x 7d428in7E5<5<5<5<i4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<==c;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm120a>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`277g=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj89947>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:??950;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm1206>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2772=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f455;3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9:896=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<<4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<==0;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>30d94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=>?n:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0125;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2741=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9:996=49:183!4e;3;hh6F=bd9K6g3<,8hh65<5<5<4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>2ec94?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb00g=?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`26a>=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f44c?3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6:m<1<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?j;:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb00g6?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`26a7=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9;im6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:>nk50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm13a`>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6:j31<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?m8:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>2b494?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb00`1?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a57e3290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e"6jj0:n6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm13f`>5<1290;w)N5jl1C>o;4$0``>075<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<<79;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm13:;>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`26=1=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj88397>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?6;:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb00;6?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`26=7=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9;=m6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:>:k50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<<8d;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm135`>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6:>31<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?98:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>26494?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0041?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a5713290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e"6jj0:n6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>i6ml0;66sm13:g>5<1290;w)N5jl1C>o;4$0``>075<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?=n:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm131;>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj88887>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:>>=50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm1312>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2666=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f445n3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9;8n6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<i4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<<=c;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>23`94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6:;21<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=?<9:184>5<7s-8i?7?le:J1f`=O:k?0(3<729q/>o=51bf8L7db3A8i96*>bb82f>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e9;9n6=49:183!4e;3;hh6F=bd9K6g3<,8hh68?4i0`5>5<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e98oo6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:=hm50;594?6|,;h86N5j<1/=om518g8m4d12900eec83>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb03fe?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a54c>290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8;n;7>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:=h850;594?6|,;h86N5j<1/=om518g8m4d12900ee483>2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm10g7>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`25`5=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f47b:3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e98o:6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>1eg94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E56;294~"5j:0:oi5G2cg8L7d23-;io7?;;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`25a>=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge==81b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>1`494?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb03b1?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`25d2=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f47f;3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd69h81<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=5<7s-8i?7?le:J1f`=O:k?0(9g83>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb03:a?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`25t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e983i6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:=4o50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm10;;>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E>3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd690?1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=<7=:185>5<7s-8i?7?ld:J1f`=O:k?0("6jj0:5?5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rb0354?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a543a290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd695<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm107a>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`250g=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f47213:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e98?36=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>14794?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E=7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=<;?:184>5<7s-8i?7?le:J1f`=O:k?0(4g83>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb037a?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`251e=83<1<7>t$3`0>4ec3A8ii6F=b49'5ge=9=1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vn4>ce9K6gc<@;h>7)?mc;3:6>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e98;m6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=5<7s-8i?7?le:J1f`=O:k?0(1b83>2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm103a>5<0290;w)N5jl1C>o;4$0``>475<5<5<0;6=u+2c195fc<@;hn7E290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd69821<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=5<7s-8i?7?le:J1f`=O:k?0(1483>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0320?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2545=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e98;:6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:=<>50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm102f>5<0290;w)N5jl1C>o;4$0``>475<5<5<m:185>5<7s-8i?7?ld:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xd68l;1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<>kf;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm11ff>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`24ab=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8:on7>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm11f;>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`24a1=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f46c>3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e99n>6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<>k3;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>0e094?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E2900e3<729q/>o=51bf8L7db3A8i96*>bb82=7=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8:j:7>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<>n4;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>0`194?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb02b6?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`24d7=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f46f83:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e993m6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<>6d;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>08a94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi==78:184>5<7s-8i?7?le:J1f`=O:k?0(3<729q/>o=51bf8L7db3A8i96*>bb820>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e993?6=49:183!4e;3;hh6F=bd9K6g3<,8hh68?4i0`5>5<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e995;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:<;l50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb025=?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a550?290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e>0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8:=:7>57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:<;;50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm1140>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2434=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f46193:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e99<;6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<5}#:k91=nj4H3`f?M4e=2.:nn4>b:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722e:ih4?::a553c290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28397d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pl>02494?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0201?6=?3:15;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2462=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f464;3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd68:81<7950;2x 7d428in7E57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:<>>50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm110f>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`247b=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f465k3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e998i6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<l4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<>=9;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>03594?0=83:p(?l<:0ag?M4em2B9n85+1ca951=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zj8:9:7>56;294~"5j:0:oi5G2cg8L7d23-;io7;>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`2452=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8:;>7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi==>>:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>01294?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::abcc=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~fc`c290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xdanj0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{enoh1<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thmj44?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnkh7:184>5<7s-8i?7?le:J1f`=O:k?0(4>ce9K6gc<@;h>7)?mc;37?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17b?je;29?xdan<0;6;4?:1y'6g5=9jn0D?lj;I0a1>"6jj0:5?5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=h9lo1<75rbgf1>5<0290;w)N5jl1C>o;4$0``>475<5<5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`e`5<72>0;6=u+2c195fc<@;hn7Et$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~fceb290<6=4?{%0a7?7dm2B9nh5G2c78 4dd28;0e2900e50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xdakj0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zjoii6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wijn750;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnkm7:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17plic683>2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smfb794?0=83:p(?l<:0ag?M4em2B9n85+1ca951=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zjoi?6=49:183!4e;3;hh6F=bd9K6g3<,8hh6<7=;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3f;ni7>5;|`ee3<72>0;6=u+2c195fc<@;hn7E"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zjok86=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thmm?4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnko>:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17plia183>2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smf8d94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`e=a<72>0;6=u+2c195fc<@;hn7E2900ei3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;?7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pli9883>3<729q/>o=51bf8L7db3A8i96*>bb82=7=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75`1dg94?=zjo=o6=48:183!4e;3;hi6F=bd9K6g3<,8hh65<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thm;o4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wij:o50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnk96:184>5<7s-8i?7?le:J1f`=O:k?0(4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pli7683>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rbg55>5<0290;w)N5jl1C>o;4$0``>475<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::ab22=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~fc15290=6=4?{%0a7?7dl2B9nh5G2c78 4dd28>0e2900e4>ce9K6gc<@;h>7)?mc;3:6>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{en<=1<7950;2x 7d428in7E5<5<5<5<:7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wij8;50;594?6|,;h86N5j<1/=om518g8m4d12900e4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smf4194?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::ab07=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=981b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~fc37290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xda"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{en=n1<7850;2x 7d428io7E5<1290;w)N5jl1C>o;4$0``>4?53`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;n3fa?6=3thm?94?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wij>=50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnk==:184>5<7s-8i?7?le:J1f`=O:k?0(4>cd9K6gc<@;h>7)?mc;32?l7e>3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pli3183>2<729q/>o=51bg8L7db3A8i96*>bb825>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smf3d94?1=83:p(?l<:0af?M4em2B9n85+1ca954=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rbg0f>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`e6a<72>0;6=u+2c195fc<@;hn7Et$3`0>4ec3A8ii6F=b49'5ge=9=1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9l5`c=831vnk5<7s-8i?7?ld:J1f`=O:k?0(50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{en891<7950;2x 7d428in7E5<5<5<5<7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wijN5j<1/=om518g8m4d12900e0;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smf1d94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`e4f<72?0;6=u+2c195fb<@;hn7EN5j<1/=om51808m4d12900e50z&1f6<6kl1C>ok4H3`6?!7ek3;:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xdbn:0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:=6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{emo81<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thnik4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wiihj50;494?6|,;h86N5j<1/=om51c9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831d=hk50;9~f`cd290=6=4?{%0a7?7dl2B9nh5G2c78 4dd2<;0e2900e4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smee:94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::aaa0=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e50z&1f6<6km1C>ok4H3`6?!7ek3;i7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17pljd383>3<729q/>o=51bf8L7db3A8i96*>bb865>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{emkl1<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?>;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3thnnn4?:683>5}#:k91=nk4H3`f?M4e=2.:nn4>1:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wiiol50;594?6|,;h86N5j<1/=om5109j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vnhln:185>5<7s-8i?7?ld:J1f`=O:k?0(50z&1f6<6km1C>ok4H3`6?!7ek3?:7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?j7bm3:17plja983>2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rbdc4>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`fe6<72?0;6=u+2c195fb<@;hn7EN5j<1/=om518f8m4d12900e4>cb9K6gc<@;h>7)?mc;3:`>o6j?0;66g>b683>>o6j10;66g>b883>>i6ml0;66sme8394?3=83:p(?l<:0a`?M4em2B9n85+1ca955<5<k2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a5=6f290<6=4?{%0a7?7dm2B9nh5G2c78 4dd2o1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f40b?3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3l0e2900e"6jj0m7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6=hn1<7950;2x 7d428in7Eb783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9<<96=48:183!4e;3;hi6F=bd9K6g3<,8hh6k5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8>om7>57;294~"5j:0:oh5G2cg8L7d23-;io7h4i0`5>5<5<5<5<7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6c:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wii4>50;594?6|,;h86N5j<1/=om518a8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82ed=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0;64?6=?3:15<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>ac9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn<785;293?6=8r.9n>4>cd9K6gc<@;h>7)?mc;3be>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm18:0>5<0290;w)N5jl1C>o;4$0``>4ge3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2=<4=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=9hh0e2900ei;0;6:4?:1y'6g5=9jo0D?lj;I0a1>"6jj0:mo5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj83i?7>57;294~"5j:0:oh5G2cg8L7d23-;io7?nb:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=4m::184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>9e;94?1=83:p(?l<:0af?M4em2B9n85+1ca95dg5<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;jm6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9h;36=48:183!4e;3;hi6F=bd9K6g3<,8hh65;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:m?h50;594?6|,;h86N5j<1/=om51``8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82eg=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb0c54?6=?3:15<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>a`9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;3be>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm1`gg>5<0290;w)N5jl1C>o;4$0``>4ge3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f5g=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=9h;0e2900e"6jj0:56;294~"5j:0:oi5G2cg8L7d23-;io7:6;%0:3?4ak2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::m2a`<722wi=9:9:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>45794?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<0;6=u+2c195fc<@;hn7E50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{e9:o=6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<7j;h3a2?6=3`;i;7>5;h3a5;h3ae?6=3`;in7>5;n3fa?6=3th:?5l50;594?6|,;h86N5j<1/=om518g8m4d12900e2<729q/>o=51bg8L7db3A8i96*>bb82=`=n9k<1<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=h9lo1<75rb00ga?6=?3:1m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::a5714290<6=4?{%0a7?7dm2B9nh5G2c78 4dd283n7d?m6;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17b?je;29?xd6:;?1<7950;2x 7d428in7E5<5<5<5<5}#:k91=nk4H3`f?M4e=2.:nn4>9d9j5g0=831b=o950;9j5g>=831b=o750;9j5gg=831b=ol50;9l5`c=831vn4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm106g>5<0290;w)N5jl1C>o;4$0``>4?b3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`255b=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e"6jj0:5h5f1c494?=n9k=1<75f1c:94?=n9k31<75f1cc94?=n9kh1<75`1dg94?=zj8:2:7>57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi==;i:184>5<7s-8i?7?le:J1f`=O:k?0(3:17d?m7;29?l7e03:17d?m9;29?l7ei3:17d?mb;29?j7bm3:17pl>03:94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::abf0=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900ej3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{en>91<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wij?m50;594?6|,;h86N5j<1/=om518g8m4d12900e4>cd9K6gc<@;h>7)?mc;3:a>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66smedg94?1=83:p(?l<:0af?M4em2B9n85+1ca955<5<5<m2c:n;4?::k2f2<722c:n54?::k2f<<722c:nl4?::k2fg<722e:ih4?::aaa2=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=90o0e2900e3:1;7>50z&1f6<6kl1C>ok4H3`6?!7ek3;2i6g>b783>>o6j>0;66g>b983>>o6j00;66g>b`83>>o6jk0;66a>ed83>>{emh?1<7950;2x 7d428in7E5<5<5<5<57;294~"5j:0:oh5G2cg8L7d23-;io7?6e:k2f3<722c:n:4?::k2f=<722c:n44?::k2fd<722c:no4?::m2a`<722wi=o8m:184>5<7s-8i?7?le:J1f`=O:k?0(2<729q/>o=51bg8L7db3A8i96*>bb802>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66g>bc83>>i6ml0;66sm19:6>5<0290;w)N5jl1C>o;4$0``>4gc3`;i:7>5;h3a3?6=3`;i47>5;h3a=?6=3`;im7>5;h3af?6=3f;ni7>5;|`2f31=83=1<7>t$3`0>4eb3A8ii6F=b49'5ge=:;1b=o850;9j5g1=831b=o650;9j5g?=831b=oo50;9j5gd=831d=hk50;9~f763k3:1:7>50z&1f6<6km1C>ok4H3`6?!7ek3;37)<67;0e=>o6j?0;66g>b683>>o6j10;66g>b883>>o6jh0;66a>ed83>>{e:9>j6=48:183!4e;3;hi6F=bd9K6g3<,8hh6<>4i0`5>5<5<5<5<52;294~"5j:0:o45G2cg8L7d23-;io7?i;h3a2?6=3f;ni7>5;|`2f`c=8381<7>t$3`0>4e33A8ii6F=b49j5g3=831d=hk50;9~f4dbk3:1>7>50z&1f6<6k=1C>ok4H3`6?l7e=3:17b?je;29?xd6jl21<7<50;2x 7d428i?7E52;294~"5j:0:o95G2cg8L7d23`;i97>5;n3fa?6=3th:nh:50;094?6|,;h86N5j<1b=o;50;9l5`c=831vn4>c59K6gc<@;h>7d?m5;29?j7bm3:17pl>bd294?4=83:p(?l<:0a7?M4em2B9n85f1c794?=h9lo1<75rb0`ga?6=:3:15;|`2fae=8381<7>t$3`0>4e33A8ii6F=b49j5g3=831d=hk50;9~f4dci3:1>7>50z&1f6<6k=1C>ok4H3`6?l7e=3:17b?je;29?xd6jm21<7<50;2x 7d428i?7E52;294~"5j:0:o95G2cg8L7d23`;i97>5;n3fa?6=3th:ni<50;094?6|,;h86N5j<1b=o;50;9l5`c=831vn4>c59K6gc<@;h>7d?m5;29?j7bm3:17pl>bbg94?4=83:p(?l<:0a7?M4em2B9n85f1c794?=h9lo1<75rb0``g?6=:3:15;|`2ffg=8381<7>t$3`0>4e33A8ii6F=b49j5g3=831d=hk50;9~f4dd03:1>7>50z&1f6<6k=1C>ok4H3`6?l7e=3:17b?je;29?xd6jj<1<7<50;2x 7d428i?7E52;294~"5j:0:o95G2cg8L7d23`;i97>5;n3fa?6=3th:nn<50;094?6|,;h86N5j<1b=o;50;9l5`c=831vn4>c59K6gc<@;h>7d?m5;29?j7bm3:17pl>bgc94?4=83:p(?l<:0a7?M4em2B9n85f1c794?=h9lo1<75rb0`e5;|`2fc0=8381<7>t$3`0>4e33A8ii6F=b49j5g3=831d=hk50;9~f4da<3:1>7>50z&1f6<6k=1C>ok4H3`6?l7e=3:17b?je;29?xd6jo81<7<50;2x 7d428i?7E52;294~"5j:0:o95G2cg8L7d23`;i97>5;n3fa?6=3th:nho50;094?6|,;h86N5j<1b=o;50;9l5`c=831vn4>c59K6gc<@;h>7d?m5;29?j7bm3:17pl>bcg94?4=83:p(?l<:0a7?M4em2B9n85f1c794?=h9lo1<75rb0`ag?6=:3:15;|`55<<72;0;6=u+2c195f2<@;hn7E0D?lj;I0a1>o6j<0;66a>ed83>>{e9>in6=4=:183!4e;3;h86F=bd9K6g36=44o0gf>5<4?:383>5}#:k91=n:4H3`f?M4e=2c:n84?::m2a`<722wi=:96:181>5<7s-8i?7?l4:J1f`=O:k?0e7<729q/>o=51b68L7db3A8i96g>b483>>i6ml0;66sm1604>5<5290;w)N5jl1C>o;4i0`6>5<0D?lj;I0a1>o6j<0;66a>ed83>>{e9?3:6=4=:183!4e;3;h86F=bd9K6g36=44o0gf>5<5}#:k91=n:4H3`f?M4e=2c:n84?::m2a`<722wi=;:k:181>5<7s-8i?7?l4:J1f`=O:k?0e7<729q/>o=51b68L7db3A8i96g>b483>>i6ml0;66sm172f>5<5290;w)N5jl1C>o;4i0`6>5<0D?lj;I0a1>o6j<0;66a>ed83>>{e96=44o0gf>5<444?:383>5}#:k91=n:4H3`f?M4e=2c:n84?::m2a`<722wi=89?:181>5<7s-8i?7?l4:J1f`=O:k?0e7<729q/>o=51b68L7db3A8i96g>b483>>i6ml0;66sm1461>5<5290;w)N5jl1C>o;4i0`6>5<29096=4?{%0a7?7d<2B9nh5G2c78m4d22900c0D?lj;I0a1>o6j<0;66a>ed83>>{e9=l86=4=:183!4e;3;h86F=bd9K6g36=44o0gf>5<5}#:k91=n:4H3`f?M4e=2c:n84?::m2a`<722wi=9j<:181>5<7s-8i?7?l4:J1f`=O:k?0e6<62:qC>o;4$3`0>70?12c98ij50;9j61c62900c?8<6;29?g41;?0;6>4?:1y'6g5=9h=0D?l:;I0aa>o6?>0;66g>0g83>>i51h0;66s|25fg>5<5sW8?hi522715>46a3ty98h?50;0xZ72b9279:>851658yv41;?0;6?uQ2715?841;?095l5r}c101?6=83:10qp}=50294?4|V;?:<6391c82f3=z{;?;j7>53z\115`<5?;i62wx>8>j:187[428l16:9;3a1>{t:<:o6=4={_064a=:=jk1h<5rs373g?6=:rT99=m4=4ab>a68>6:181[4280169no5dd9~w735?3:1>vP=535890ef2mn0q~<:2783>7}Y:<8=70;la;f`?xu5=;?1<7;2kh0on6s|2407>5<5sW8>>9525bc9`d=z{;?9?7>52z\1175<58<=;<7`e?ea3ty99ol4le:p60742909wS<:129>1f?=l?1v?;?8;296~X5=92018m6:e78yv428>0;6?uQ2424?83d13n?7p}=57094?e|V;?=>63=2`a924=::;kh6;<4=30bg?043489mn494:?16de=><16>?ol:748974fk3<370<=ab85=>;5:hi1:l5223c`>3d<5;8jo78l;|q1137=838pR?;91:?16de=>91v?;:f;296~X5=;5:hi19h5rs376`?6=:rT998j4=30bg?3c3ty998m50;0xZ732k279>lm5789~w732j3:1>vP=54`8974fk3=37p}=54c94?4|V;?>m63=2`a932=z{;?>57>52z\110?<5;8jo799;|q110>=838pR?;:8:?16de=?<1v?;:7;296~X5=<=01?;5:hi1;>5rs3760?6=:rT998:4=30bg?003ty998=50;0xZ732;279>lm55b9~w732:3:1>vP=5408974fk3?i7p}=54394?4|V;?>=63=26c926=z{;?><7>52z\1106<5;881v?;;e;296~X5==o01?<8a;43?xu5==n1<7;5:>k19k5rs377g?6=:rT999m4=304e?3b3ty999l50;0xZ733j279>:o55e9~w73113:1>vP=57;89740i3=27p}=57:94?4|V;?=463=26c93==z{;?=;7>52z\1131<5;81<7;5:>k1;95rs3754?6=:rT99;>4=304e?143ty998;50;0xZ732=279>:o5669~w733i3:1>vP=55c89740i3?h7p}=55;94?4|V;??563=26c91g=z{;?3?7>5cz\11=5<502n6;?4=8:f>34<502n6;=4=8:f>32<502n6;;4=8:f>30<502n6;64=8:f>3?<502n6;o4=8:f>3d<502n6;m4}r06<7<72;qU>86=;<;;a?073ty995>50;0xZ73?82724h4:f:p601a2909wS<:7g9>==c==l1v?;8e;296~X5=>o0146j:4f8yv42?m0;6?uQ245g?8??m3=27p}=56a94?4|V;?{t:<=i6=4={_063g=:11o1;:5rs374e?6=:rT99:o4=8:f>20;44?:3y]601>3433i79:;|q112>=838pR?;88:?:<`<0<2wx>898:181[42?>1655k5729~w730=3:1>vP=56789<>b2?=0q~<:7583>7}Y:<=?7077e;7`?xu5=>91<7;>0l0>n6s|2451>5<5sW8>;?5294a926=z{;?<=7>52z\1127<50?h6;<4}r0635<72;qU>89?;<;6g?063ty99;h50;0xZ731n2729n490:p600b2909wS<:6d9>=0e==o1v?;9d;296~X5=?n014;l:4g8yv42>j0;6?uQ244`?8?2k3?o7p}=59c94?4|V;?3m6365b84=>{t:<226=4={_06<<=:1214:4?:3y]60>0343>o799;|q11=0=838pR?;76:?:1f<0=2wx>86::181[420<1658m5759~w73?93:1>vP=59389<3d2>90q~<:7783>7}Y:<==707:c;44?xu5=?h1<7;>=j0>o6s|244b>5<5sW8>:l5294a91g=z{;?j87>55z\11d2<5;89n7j;;<016g?l5d79>674e2m=0q~<:a283>7}Y:{t:8o?;<016g87i:181[421o16>?5<5sW8>5i52230a>a`5n4?:3y]60?d3489>o4ke:p60?e2909wS<:9c9>674e2mn0q~<:9`83>7}Y:<3j70<=2c8gg>{t:<326=4={_06=<=::;8i6il4}r06==<72;qU>877;<016g879:181[421?16>?5<5sW8>5952230a>fc5>4?:3y]60?43489>l4k6:p60?52909wS<:939>674f2m?0q~<:9083>7}Y:<3:70<=2`8g0>{t:<3;6=4={_06=5=::;8j6i=4}r0686i;<016d86j:181[420l16>?5<5sW8>mo52230b>`6ml4?:3y]60gf3489>l4kf:p60g>2909wS<:a89>674f2mo0q~<:a983>7}Y:{t:8o9;<016d8o=:181[42i;16>?0;6?uQ24;4?845:h0o56s|24:`>5<5sW8>4n52230b>f`4o4?:3y]60>e3489>l4le:p60e2290hwS<:c49>e0c=>816m8k5639>e0c=>:16m8k5659>e0c=><16m8k5679>e0c=>116m8k5689>e0c=>h16m8k56c9>e0c=>j1v?;l4;296~X5=j>01l;j:728yv42k;0;6?uQ24a1?8g2m3?m7p}=5b394?4|V;?h=63n5d86a>{t:2?nh4?:3y]60db34k>i797;|q11gb=838pR?;md:?b1`<0?2wx>8ll:181[42jj16m8k5779~w73ej3:1>vP=5c`89d3b2>?0q~<:b`83>7}Y:;f=l05<5sW8>n:52a4g922=z{;?i:7>52z\11g0<5h?n68m4}r06f0<72;qU>8l:;n493:p60d42909wS<:b29>e7e=>;1v?;m2;296~X5=k801l{t:0bon4?:3y]60ed34k9o796;|q11fd=838pR?;lb:?b6f<002wx>8mn:181[42kh16m?m5769~w73d13:1>vP=5b;89d4d2><0q~<:c983>7}Y:;f:j0<86s|24a0>5<5sW8>o>52a3a936=z{;?i47>52z\11g><5h8h6;94}r06ea<72;qU>8ok;n4:b:p60c1290>wS<:e79>662e2m>01?=;b;f6?84452z\11`3<5;9?n7j<;|q11`5=838pR?;j3:?171d=l;1v?;j2;296~X5=l801?=;b;f2?xu5=l;1<7;5;=h1h=5rs37f4?6=:rT99h>4=317f?c73ty99ih50;0xZ73cn279?9l5dg9~w73cm3:1>vP=5eg89753j3nn7p}=5ef94?4|V;?oh63=35`9`a=z{;?oo7>52z\11ae<5;9?n7jl;|q11ad=838pR?;kb:?171d=lk1v?;ka;296~X5=mk01?=;b;fb?xu5=m21<7;5;=h1h45rs37g3?6=:rT99i94=317f?ea3ty99i850;0xZ73c>279?9l5cd9~w73c=3:1>vP=5e789753i3n=7p}=5e694?4|V;?o863=35c9`0=z{;?o?7>52z\11a5<5;9?m7j;;|q11a4=838pR?;k2:?171g=l:1v?;k1;296~X5=m;01?=;a;f1?xu5=m:1<7;5;=k1h<5rs37`b?6=:rT99nh4=317e?b73ty99hj50;0xZ73bl279?9o5e19~w73bk3:1>vP=5da89753i3nm7p}=5d`94?4|V;?nn63=35c9``=z{;?nm7>52z\11`g<5;9?m7jk;|q11`?=838pR?;j9:?171g=lj1v?;j8;296~X5=l201?=;a;fa?xu5=l>1<7;5;=k1hl5rs37g=?6=:rT99i74=317e?b>3ty99nk50;0xZ73dm279?9o5cg9~w73dl3:1>vP=5bf89753i3in7p}=61:94?7c8rT9:=64=0`:=?7e>27:n4o51c4894d>j3;i:63>b1g95g0<58h997?m6:?2f64=9k<01;6j:>1=o84=0`01?7e>27:n>851c4894d4?3;i:63>b2:95g0<58h;h7?m6:?2f4d=9k<01c;3a2>;6j8n1=o84=0`2a?7e>27:nb3395g0<58h9>7?m6:?2f75=9k<01;6j;<1=o84=0`13?7e>27:n?651c4894d513;i:63>b3c95g0<58h9n7?m6:?2f7e=9k<01;6j;o1=o84=0`1b?7e>27:n>>51c4894d493;i:63=07;95g1<5;:=;7?m7:?1433=9k=01?>93;3a3>;58?;1=o94=326b?7e?279<8j51c589762j3;i;63=04:95g1<5;:>:7?m7:?1402=9k=01?>:2;3a3>;58<:1=o94=327a?7e?279<9651c58976213;i;63=11195g0<58h;j7?m6:?2f45=9k<014;3a2>;6j8?1=o84=0`22?7e>27:n<951c4894d603;i:63>b0;95g0<58h:m7?m6:?2f46=9k<011;3a2>;6j881=o84=325:c;3af>;58;b;3af>;58=31=ol4=3273?7ej279<9851c`894>1=3;i:63>7c395gg<58=897?m6:?22f4=9k<01<86d;3ae>;6>:21=oo4=07g`?7e>27:94=51c48943013;im63>52795gg<58>mn7?m6:?2ecg=9k=01;6j9;1=o94=0d46?7e?27:j;o51c5894`103;i;63>f7495g1<58l=87?m7:?2b34=9k=01;6nf6495g1<58l<47?m7:?2b2g=9k=01;6n>o1=o94=0d;4?7e?27:j5<51c5894`?<3;i;63>f9495g1<58l347?m7:?2b=g=9k=01;6n1o1=o94=0d:4?7e?27:j4<51c5894`><3;i;63>agg95gg<58>2:7?m7:?207g=9k=01h6i:0`4?8cf:3;i;63jb982f2=:mm;1=o94=g2b>4d034lm87?m7:?240e=9k=01;69o;1=o94=000`?7e?27:>5m51c58945483;i;63>39:95g1<589oj7?m7:?2ece=9k301<9kd;3a2>;6?1=1=o64=056a?7e>27:;=j51c:8940213;i:63>60;95g><58?mn7?m6:?21f>=9k201<;;b;3a2>;6=;;1=o64=073a?7e>27:8h751c:89`>128h<70?nf982f2=:9k:=616=k8j:0`4?87a>j0:n:521c21>4df34o387?m6:?2f56=9k301;6j9?1=o74=0ce=?7e127:89=51c489423:3;im63>45395gg<58>8j7?ma:?206c=9kk01<:;6<:i1=oo4=060f?7ei27:8>o51cc8942413;im63>42:95gg<58>8;7?ma:?2060=9kk01<:<4;3ae>;6<:91=oo4=0606?7ei27:8>?51cc8942483;im63>43d95gg<58>9i7?ma:?207b=9kk01<:=c;3ae>;6<;h1=oo4=067e?7ei27:89751cc8942303;im63>45595gg<58>897?m8:?20;6<0k1=oo4=06::3;im63>48295gg<58>3i7?ma:?20=e=9kk01<:nb;3ae>;63d295gg<589oh7?ma:?27ae=9kk01<=ka;3ae>;6;m21=oo4=01g2?7ei27:?i:51cc8945c:3;im63>3e295gg<589hi7?ma:?27fe=9kk01<=la;3ae>;6;l21=oo4=01f0?7e027:?5>51cc89450m3;im63>36a95gg<589=9kk01<=86;3ae>;6;>>1=oo4=0146?7ei27:?:>51cc8945?l3;im63>39;95g><5899i7?ma:?277b=9kk01<==c;3ae>;6;;h1=oo4=011e?7ei27:??751cc89455?3;im63>33795gg<5899?7?ma:?2777=9kk01<=>f;3ae>;6;8n1=oo4=012f?7ei27:?<751cc89456?3;im63>32395g?<588o97?ma:?26a5=9kk01<;6:jl1=oo4=00``?7ei27:>nl51cc8944d13;im63>2b595gg<588h97?ma:?26ae=9k201<<7a;3ae>;6:131=oo4=00;5951cc8944?>3;im63>29795gg<5883?7?ma:?26=7=9kk01<<8f;3ae>;6:>n1=oo4=004f?7ei27:>:751cc89440?3;im63>26795gg<5883h7?m8:?2663=9kk01<<<3;3ae>;6::;1=oo4=001b?7ei27:>?j51cc89445j3;im63>23;95gg<5889;7?ma:?266c=9k201;69lo1=oo4=03f`?7ei27:=hm51cc8947bj3;im63>1dc95gg<58;n47?ma:?25`0=9kk01;69l81=oo4=03f4?7ei27:=ik51cc8947ck3;im63>1e:95g><58;j=7?ma:?25<`=9kk01;690h1=oo4=03:=?7ei27:=4951cc8947>=3;im63>18395g?<58;=<7?ma:?250`=9kk01;6914595gg<58;>97?ma:?2505=9kk01;69=l1=oo4=037f?7e127:=<751cc89476?3;im63>10795gg<58;:?7?ma:?2547=9kk01;699h1=o74=02f5?7ei27:51cc8946cn3;im63>0eg95gg<58:oh7?ma:?24ae=9kk01<>ka;3ae>;68m21=oo4=02g2?7ei27:0bg95g?<58:j<7?ma:?246c;3ae>;680k1=oo4=02:07f95gg<58:=o7?ma:?243d=9kk01<>9a;3ae>;68?31=oo4=0253?7ei27:<;;51cc89461;3;im63>07395gg<58:>h7?m9:?2466=9kk01<>=e;3ae>;68;i1=oo4=021e?7ei27:01195gg<58:;>7?ma:?2457=9kk01<>?0;3ae>;ano0:nl52fgf95gg<5oli6b89>bfe=9kk01kmn:0`b?8`d03;im63ic582f<=:nh<1=oo4=gc6>4df34lj87?ma:?ee6<6jh16jl<51cc89cg628hj70h6f;3ae>;a1m0:nl52f8;95g?<5o=<6b89>b01=9kk01k;9:0`b?8`2=3;im63i5582fd=:n<91=oo4=g71>4df34l><7?ma:?e0f<6j016j?k51cc89c4f28h370h>4;3ae>;a9:0:nl52f0095gg<5o;:6b`9>b5d=9k301hkl:0`;?8cc13;im63jd982fd=:mm=1=oo4=df5>4df34oo>7?m8:?ff<<6j116il651cc89`g028hj70kn3;3a<>;b1:0:n;52e8095g0<5l3:627:4=o51c48940b?3;i:63>66`95g0<58?jh7?m6:?2134=9k<01<:ka;3a2>;b0;0:n;52e8295g0<58h;m7?m8:?2;6<=<1=oo4=0671?7ei27:89>51cc8942f?3;im63>3d495gg<5893n7?ma:?2765=9kk01<;6:>91=oo4=0011?7ei27:=io51cc8947>;3;im63>15f95gg<58;;h7?ma:?24a6=9kk01<>66;3ae>;68b`9>bf0=9kk01k7m:0`b?8`0;3;im63i4d82fd=:n;i1=oo4=g2g>4df34oni7?ma:?f`0<6jh16ii:51cc89`g128hj70kn5;3ae>;bi=0:nl521c4a>4d?34;3n94>b69>5g0028h<70j64d034;>jo4>b69>502e28h<70?:0d82f2=:9=>96n:0`4?871m>0:n:52175a>4d034;>mi4>b69>500528h<70?;d`82f2=::9>h69?1<7jt^3431>;6j;21=o94=3336?7ej27:j4<51c:8942393;in63>3d095gd<582;m7?m8:?22`1=9k201<88b;3a<>;6=hn1=o64=0756?7e027:8io51c:894db03;i96s|2727>54d0348:b`9>5c?728h370?88682f<=:9>:o64d>34;??k4>bc9>56c628hi70?<2d82fg=:9ko=6;6n1o1=o64=060a?7ej27:?h>51c`89455l3;in63>bd695g394>b69>646528h370?i8b82f==:9=9o64d23ty9:=?50;cxZ707927:n?=51c589777:3;i:63>f9c95g><58>8o7?mb:?20;6;;h1=ol4=00;=?7ej27:nh>51c78yv41890;64uQ2723?87e:;0:n:522021>4d034;m454>b99>515e28hi70?<2`82fg=:9;236;6j;;1=o94=32eb?7ej27:j5851c:89424i3;in63>48a95gd<589om7?mb:?27=6=9kh01<==9;3af>;6:1=1=ol4=03fa?7ej27:nim51c78yv42nl0;64uQ24df?87e:90:n:5221de>4df34;m494>b99>515>28hi70?=8782fg=:98oo6;6j8l1=o94=32eb?7e127:j5<51c:8942403;in63>48c95gd<589o47?mb:?272c=9kh01<==7;3af>;6:m?1=ol4=00;1?7ej27:=hm51c`89472n3;in63>be:95g3jn4?:8y]60`d34;i=h4>b69>65`a28h370?i8182f==:9=9<64d23ty99ko50;33[42nh16=o?l:0`4?847no0:n;521g5f>4d?34;??;4>bc9>51??28hi70?4de34;:il4>bc9>543c28hi70??e182fg=:9kn96if;3a3>;6n>i1=o64=0600?7ej27:=8m51c`8946cn3;in63>07g95gd<58ho<7?m5:p60`?290:>vP=5g:894d7l3;i;63=0gg95gd<58l;6;m>1=ol4=014e?7ej27:??=51c`8944c93;in63>29395gd<5888?7?mb:?25`>=9kh01;695<>sW8>j:521c1;>4d0348;jh4>b`9>5c1?28h370?;3382fg=:99no6;:0`a?87ekj0:n85rs37e2?6=9=qU>8h9;<3a72<6j>16>=hj:0`:?87a??0:n5521512>4de34;?5?4>bc9>56b528hi70?<7982fg=:9:8:64de34;:5k4>bc9>543>28hi70?>1882fg=:99nh6<:0`a?87ekh0:n85rs37e1?6=1rT99k;4=0`02?7e?27942295gd<58:=m7?mb:?2454=9kh01ko9:0`a?87ek10:n85rs37e0?6=9?qU>8h;;<3a70<6j>16>=hj:0`5?87a=h0:n552150e>4de34;?5=4>bc9>56b728hi70?<7782fg=:9:;m64de34;:5i4>bc9>543028hi70?>1682fg=:99nj65g5328h<70?:0`a?8`f<3;in63i5682fg=:9ki?65g5428h<70<>0082fg=:9o?n64de34;8=i4>bc9>57ee28hi70?=7c82fg=:9;8o64de34;;h54>bc9>55?b28hi70??6682fg=:999;64>bc9>b00=9kh01{t:651c78yv418o0;6;6j:;1=o94=3335?7e127:j;<51c:89425j3;in63>49a95gd<589ho7?mb:?2724=9kh01<=>b;3af>;6:j31=ol4=004=?7ej27:>?l51c`8947b83;in63>18;95gd<58;>?7?mb:?2545=9kh01<>k6;3af>;680i1=ol4=0251?7ej27:;ai80:no52f4695gd<5o;869o01;599;1=o64=0d50?7e027:89o51c`89c3428hi70h>2;3af>;bl00:no521cd;>4d23ty9:=j50;3`[418m16=o4d?34;?844>bc9>51ge28hi70?0:no52130:>4de34;:hh4>bc9>54?028hi70?>5082fg=:98;:6:0:no52110`>4de34lmn7?mb:?egd<6jk16j4h51c`89c1028hi70h:2;3af>;a980:no52ee:95gd<58hm:7?m5:p636d2902wS<90b9>5g4b28h<70<>0082f2=:9o<3651c`89`b028hi70kn8;3af>;6jo>1=o;4}r054g<728opR?8?b:?2f7b=9k=01???0;3af>;6n?k1=o64=0673?7ej27:8l751c`8945b03;in63>39f95gd<589:;7?mb:?26f3=9kh01<<85;3af>;6:;=1=ol4=03gg?7ej27:=4;51c`89473n3;in63>11d95gd<58:o>7?mb:?24<>=9kh01<>91;3af>;68;k1=ol4=gd:>4de34lh47?mb:?e=a<6jk16j:;51c`89c3728hi70h=e;3af>;a8o0:no52ee495gd<5lk<69k01;599:1=oo4=0d5g?7e027:n=<51c`89`?428h<70?;4782fg=:mm?1=ol4=dc5>4de34;ij=4>b49~w707>3:1>4d>34;jjh4>bc9>5c0b28h370k62;3a3>;6<=?1=ol4=06b3?7ej27:?h851c`8945?j3;in63>32195gd<588oi7?mb:?2625=9kh01<<=5;3af>;69mk1=ol4=03:7?7ej27:=9j51c`89477l3;in63>0e295gd<58:2:7?mb:?240`=9kh01<>=8;3af>;an>0:no52fb495gd<5o3i6bc9>b7e=9kh01k>k:0`a?8cbm3;in63jd582fg=:mh?1=ol4=0`fe?7e=2wx>8hm:18b[42nk16=o?k:0`4?846890:n5521g53>4d?34o387?m7:?2f52=9kh01h7>:0`4?873<90:no52e`695gd<58ho87?m5:p60`729098vP=5g2894d5=3;i;63=11295g0<58l<>7?m8:?2ece=9kk01;6<:?1=o74=01f0?7e127:?5751c;8945493;im63>2ea95g?<5883h7?m9:?266c=9k301;690;1=oo4=037f?7ei27:==l51cc8946dm3;im63>08695g?<58:>h7?ma:?2470=9k301kh::0`b?8`d<3;im63i9882fd=:n>;1=oo4=g6`>4df34l9m7?m9:?e4g<6jh16ihm51c;89`b528h270km9;3a=>;bi:0:n452e6g95gd<5l296;6j9o1=o94=3334?7e?27:n=h51c5894d6;3;i;63>b0695g1<58h:97?m7:?2f40=9k=017;3a3>;6j821=o94=0`2=?7e?27:nb0395g1<58h:>7?m7:?2ecg=9k301;6j9;1=o74=06:2?7e027:8?o51c:89`>a28h370kn2;3a<>;bj10:n552ee395g><5o:j683;i463>1g395g><5888h7?m8:?26=e=9k201<=<0;3a<>;6;121=o64=01gb?7e027n4;4>b89>5d`?28h270?m0782f<=:9k:;6528h<70k60;3a3>;6j9k1=o74=0`ag?7e=2wx>;:?:181[41<916>=6;:0`5?xu5>:l1<7=t^340b>;58191=o84=3246?7>=2wx>;=j:180[41;l16>=6=:0`5?847?80:585rs340`?6=;rT9:>j4=32;5?7e>279<:>51878yv41;j0;6>uQ271`?847090:n;52214e>4?23ty9:>l50;1xZ704j279<:h51c489761m3;296s|271b>5<4sW8=?l52215f>4d1348;:i4>949~w70413:1?vP=62;89760l3;i:63=07a95<3b79>650e283>7p}=64494?5|V;<>:63=06`95g0<5;:=m7?65:p63322908wS<9549>65>d28h=7087>53z\1202<5;:3n7?m6:?142?=90?0q~<95283>6}Y:??87087;3:1>{t:?>n6=4<{_050`=::9236=901?>77;3a2>;58>?1=4;4}r057=<72:qU>;=7;<03<3<6j?16>=9;:0;6?xu5>:=1<7=t^3403>;581?1=o84=3247?7>=2wx>9jk:185[43lm16>9k;:02e?81113;;j6386b824c=:??k1=;?4=3427?43lm1v?:kf;29=6}Y:=nm709<0;33b>;0;80:><6<>i;<57e?77n27<8o4>0g9>31e=99l01::k:02e?813m3;;j6384g824c=:?:81==h4=610>46a34=887??f:?470<68o16;>8511d8925028:m709<8;33b>;0;00:9i6<>i;<50`?77n270g9>36`=99l01::?:02e?81393;;j63843824c=:?=91==h4=667>46a34=?97??f:?403<68o16;96511d8922>28:m7096c;33b>;01m0:h96<>i;<5a7?77n270g9>3g3=99l01:l9:02e?81e?3;;j638b9824c=:?0o1==h4=6;e>46a34=j<7??f:?4e4<68o16;l<511d892g428:m709n4;33b>;0i<0:k<6<>i;<5b=?77n270g9>3dd=99l01:ol:02e?81fl3;;j638ad824c=:?hl1==h4=6`3>46a34=i=7??f:?10f5=99l01?:l4;33b>;53;;j63=4e5955`<5;>o47??f:?10a?=99l01?:ka;33b>;5hm7??f:?10fd=99l01?:lc;33b>;5511d8972c93;;j63=4e0955`<5;>o?7??f:?156?=99l01??;59=?1==h4=337f?77n279=9m511d89773l3;;j63=15g955`<5;;?j7??f:?1506=99l01??:1;33b>;59:h1==h4=330g?77n279=>j511d89774m3;;j63=12d955`<5;;?<7??f:?1517=99l01??;2;33b>;59=91==h4=3370?77n279=98511d89773?3;;j63=15:955`<5;;?57??f:?151g=99l01?>l3;33b>;58j>1==h4=32`1?77n279lb;33b>;58ji1==h4=32``?77n279k3;33b>;58m>1==h4=32f3?77n279jd;33b>;58lo1==h4=32fb?77n279511d8976a93;;j63=0g0955`<5;:m?7??f:?14c2=99l01?>i5;33b>;58o<1==h4=32e3?77n279;0;90:9?527239504<5>9h6<;=;<573?72:27<8l4>539>31d=9<801::l:071?813l3;>>6384d8217=:?=l1=8<4=611>43534=8?7?:2:?471<6=;16;>;51408925128?9709<7;366>;0;10:9?5272;9504<5>9j6<;=;<50f?72:27539>36c=9<801:=i:071?81383;>>638408217=:?=81=8<4=660>43534=?87?:2:?400<6=;16;9851408922?28?9709;9;366>;0>j0:;:5rs346;;7;<504?4>j2736e=:0h01::8:3;a?813i382n6384c81=g=:?=i1>4l4=66g>7?e34=?i7<6b:?40c<51k16;><528`892542;3i709<4;0:f>;0;<095o52724969<6?7m;<50j2736g=:0h01:=m:3;a?814l382n6383d81=g=:?:l1>4l4=663>7?e34=?=7<6b:?407<51k16;9=528`892232;3i709;5;0:f>;0>26?7m;<07g6<51k16>9m;:3;a?843ko095o5225f7>7?e348?h84=9c9>61b12;3i70<;d681=g=::=n36?7m;<07`<<51k16>9jn:3;a?843k<095o5225a5>7?e348?o:4=9c9>61e?2;3i70<;c881=g=::=ij6?7m;<07gg<51k16>9ml:3;a?843km095o5225af>7?e348?h=4=9c9>61b62;3i70<;d381=g=::=n86?7m;<03g6<51k16>=m;:3;a?847k<095o5221a5>7?e348;o:4=9c9>65e?2;3i70=ml:3;a?847km095o5221af>7?e348;ok4=9c9>65b72;3i70=j;:3;a?847m>095o5221g;>7?e348;i44=9c9>65cf2;3i70=kj:3;a?847mo095o5221d3>7?e348;j<4=9c9>65`52;3i70=h9:3;a?847n>095o5221d;>7?e34><:7?m6:?732<6j?1v?8:9;2937}Y:??2706=1;3a2>;?9o0:n;5280f95g0<51;i6273=:4>b79><43=9k<015?<:0`5?8>693;i:6370e82f3=:09h1=o84=92:>4d1342;;7?m6:?;40<6j?164==51c489=6628h=709if;3a2>;0nm0:n;527g`95g0<518m6273>o4>b79><7?=9k<015<8:0`5?8>5=3;i:6372282f3=:09l1=o84=6d:>4d134=m;7?m6:?17ge=9k<01?=l4;3a2>;5;j?1=o84=31`2?7e>279?n951c48975d03;i:63=3b;95g0<5;9hm7?m6:?17g5=9k<01?=m4;3a2>;5;k?1=o84=31a2?7e>279?o951c48975e03;i:63=3c;95g0<5;9im7?m6:?17gd=9k<01?=md;3a2>;5;ko1=o84=31ab?7e>279?n>51c48975d93;i:63=3b095g0<5;9h?7?m6:?733<6j1168:951c:8915328h370694;3a2>;?>:0:n;5287095g0<51<:62739k4>b79><0c=9k<015;k:0`5?8>2k3;i:6375`82f3=:0<31=o84=97;>4d1342>;7?m6:?;13<6j?1648;51c489=3328h=706:3;3a2>;?=;0:n;5284395g0<51273:n4>b79><3d=9k<0158n:0`5?8>113;i:6376782f3=:04d1342?j7?m6:?15a?=9k<01??k8;3a2>;59m=1=o84=33g2?7e>279=i;51c48977c;3;i:63=1e095g0<5;;o=7?m6:?15a6=9k<01??lf;3a2>;59jo1=o84=33``?7e>279=nm51c48977dj3;i:63=1bc95g0<5;;n;7?m6:?15`0=9k<01??j5;3a2>;59l>1=o84=33f7?7e>279=h<51c48977cn3;i:63=1e695g0<5;;h57?m6:?15f>=9k<01>=8:0`;?80013;i56391b82f<=z{;:n:7>513y]61`a343>h7j;;<;g`?b3343>h7j:;<;g`?b2343>h7j9;<;g`?b1343>h7j8;<;g`?b034k9h7j;;5<1sW8?jn5294f9`7=:1mn1h?52a3f9`7=:ihn1h?5221d5>7?f3ty9i4k1:?bearT98k74=87g>`6<50no6h>4=`0g>`6<5hko6h>4=32e7?4>i2wx>=k?:185[43n11658j5dg9>=ab=lo16m?j5dg9>edb=lo16>=h=:3;b?xu58ml1<78t^36e3>;>=m0oi636de8ga>;f:m0oi63nae8ga>;58o;1>4o4}r03``<72?qU>9h9;<;6`?bc343oh7jk;kd;292~X5jf;0:e>{t:9nh6=49{_07b1=:13}Y:=l:707:d;f:?8?cl3n270o=d;f:?8gfl3n27056z\10c6<50?o6nh4=8fg>f`<5h8o6nh4=`cg>f`<5;:nn7<6a:p65b?290=wS<;eg9>=0b=kl165ij5cd9>e7b=kl16mlj5cd9>65cf2;3j7p}=0e594?0|V;>ni6365b8g2>;>0l0o:63n2b8g2>;f=l0o:63=0d;96o7j:;<;;a?b234k9o7j:;0146j:e689d4d2m>01l;j:e68976b?382m6s|2462>5<4sW8>8<5275;9521<5<=96169:>51dg8yv42;l0;6>uQ241f?813>3;<;63:6g82a`=z{;?8h7>53z\116b<5>>>6<98;<75a?7bm2wx>8=l:180[42;j16;9:51658900c28on7p}=52`94?5|V;?8n638428232=:=?i1=hk4}r067d<72:qU>8=n;<576?70?27>:o4>ed9~w73413:1?vP=52;8922628=<70;9a;3fa>{t:<936=4<{_067==:?=:1=:94=44:>4cb3ty99>950;1xZ734?27769>13>=9lo0q~<:3783>6}Y:<9=709;2>>0:ih5rs3701?6=;rT99>;4=61g>41034?=97?je:p60542908wS<:329>36d=9>=0188;:0gf?xu5=:81<7=t^3706>;0;h0:;:5257195`c?<4?:2y]605634=857?87:?627<6ml1v?;<0;297~X5=::01:=7:054?83193;ni6s|240e>5<4sW8>>k527259521<5<<;61698h51dg8yv42:m0;6>uQ240g?814=3;<;63:5d82a`=z{;?9o7>53z\117e<5>9?6<98;<76`?7bm2wx>8=51658903d28on7p}=53c94?5|V;?9m638338232=:=>21=hk4}r060=<72:qU>8:7;<57b?70?27>;:4>ed9~w733?3:1?vP=5558922b28=<70;86;3fa>{t:<>=6=4<{_0603=:?=n1=:94=456>4cb3ty999;50;1xZ733=27<8n4>769>122=9lo0q~<:4583>6}Y:<>?709;b;343>;2?:0:ih5rs3777?6=;rT999=4=66b>41034?<=7?je:p605a2908wS<:3g9>311=9>=01889:0gf?xu5=:>1<7=t^3700>;0;j0:;:5254`95`c>44?:2y]604>34=8=7?87:?61d<6ml1v?;=8;297~X5=;201:=?:054?83213;ni6s|273g>5<5sW8==i5222f3>4cb3ty9:=h6a:?17fb=9lo01:9l:0`;?xu5>831<7=t^342=>;5;ji1=hk4=65`>4d>3ty9:<650;1xZ7060279?nl51dg8921d28hj7p}=60594?4|V;<:;6387882a`=z{;<897>52z\1263<5>=<6:901:9::0gf?xu5>:81<7;0?=0:ih5rs3405?6=:rT9:>?4=650>4cb3ty9:>>50;0xZ704827<;?4>ed9~w705k3:1>vP=63a8921728on7p}=63394?4|V;<9=6386g82a`=z{;<::7>52z\1240<5>=365:?434<6ml1v?>l2;296~X5>><01?>k4;0:e>{t:9i:6=4={_0530=::9n86?7n;|q14f6=838pR?883:?14a4=:0k0q~7}Y:?=97052z\1227<5;:o<7<6a:p65dc2909wS<9719>65ea2;3j7p}=0ca94?4|V;<=j63=0bg96vP=67f8976dk382m6s|21`:>5<5sW8=:n5221aa>7?f3ty90;6?uQ274b?847k0095l5rs32a2?6=:rT9:;64=32`i2wx>=l::181[41>>16>=m8:3;b?xu58k>1<7;58j<1>4o4}r03f6<72;qU>;8:;<03g0<51h1v?>m2;296~X5>?>01?>l4;0:e>{t:9h:6=4={_0526=::9i86?7n;|q10ae=83<;mv3=4d69504<5><26<;=;<55g?72:27=?n4>619>12?=9kl01896:0d3?83013;m>63:7`82fc=:=>k1=k>4=45b>4`534?12?=?<169:75779>12?=?>169:75799>12?=?0169:755e9>12?==l169:755g9>12?=>916>?4`53489>o4>bg9>674e28l;70<=2c82b7=::;8i6>:n:0`e?8444`534888o4>bg9>662e28l;70<<4c82b7=:::>i628l;70;l9;3e6>;2kh0:nk525bc95c6<5ol4>f79>1f?=?=16>?9n:0`e?845?h0:j=52235b>4`53489;o4>bg9>671e28l;70<=7c82b7=::;=i6?ol:0`e?845ij0:j=5223c`>4`53489mn4>f59>67`e28hm70<=fc82b5=::;li6?hm:0d5?8?2k3;ij6365b82b5=:14da343>h7?i0:?:1a<6n;1658j51g689<3c28l=7077e;3ab>;>0l0:j=5299g95c4<502n6f19>=ab=9o8014jk:0d7?8?cl3;m:63n2b82fc=:i;i1=k>4=`0`>4`534k9h7?mf:?b6a<6n916m?j51g089d4c28l?70o=d;3e2>;f=l0:nk52a4g95c6<5h?n6bg9>edb=9o:01lok:0d1?8gfl3;m863nae82b3=::=<:6<8?;<07=7<6>916>?>7:043?845900::=5295f9536<5>916>=78:043?8cb;3;=<63jf78225=:9k3h6<8?;<3abg<6>916=9ml:043?873lk0::=5215ga>40734;?jh4>619>507628<;70?:248225=:m131=;>4=d;6>40734;>?44>619>a916in6517289`bf28<;70?:7g8225=:mo?1=;>4=07:=?71827njk4>619>b43=9?:01<;m4;354>;a;<0::=5214ae>40734l>47?90:?21`b=9?:01k9j:043?8718k0::=52f`59536<58<9m7?90:?e`6<6>916=;:6:043?8`b;3;=<63>0179536<58<=n7?90:?2461=9?:01<87d;354>;68=31=;>4=025b?71827::o>51728946f?3;=<63>6e79536<58:n>7?90:?22cd=9?:01;6?;;1=;>4=0355?71827:;9o51728947003;=<63>1`:9536<58=;6?0n1=;>4=0016?71827:>8951728941d?3;=<63>2629536<588j;7?90:?23c2=9?:01<;60;;1=;>4=0126?71827:?9j5172894>3l3;=<63>37;9536<589j:7?90:?2<2b=9?:01<=l0;354>;6;oo1=;>4=0:bg?71827:8:851728942e>3;=<63>8e`9536<58>;57?90:?201d=9?:01<7?a;354>;b4d034;>4l4>b69>b76=9?:01k=9:043?872k00:n:5214`e>4d034l?=7?90:?e1<<6>916=8k9:0`4?872lk0:n:52f779536<5o=m6<8?;<3541<6j>16=8h6:0`4?8`?k3;=<63ia98225=:9?89616jo8517289cb328<;70?94082f2=:9?9=6916===7:043?8710=0:n:52175;>4d034;;4?4>619>55g?28<;70?9cc82f2=:9?hn6916==k<:043?871n90:n:5217g0>4d034;;j:4>619>544628<;70?81782f2=:9>:26916=<8=:043?870;l0:n:521613>4d034;9o<4>619>57`?28<;70?71282f2=:91:?63`9>edb=9:301lok:01;?8gfl3;8;63nae8273=:ihn1=>;4=`cg>45334kjh7?<3:?bea<6;816mlj512289dgc288m70ond;31a>;fim0:>i52a`f957e<5hko6<289>edb=9;201lok:005?8gfl3;9963nae8261=:ihn1=?=4=`cg>44534kjh7?=1:?bea<6:916mlj510d89dgc28;n70ond;32`>;fim0:=o52a`f954g<5hko6169>edb=98<01lok:036?8gfl3;:863nae8256=:ihn1=<<4=`cg>42734kjh7?;fim0:>:52a`f954e<5hko6;27jmi485:?bea<0<27jmi483:?bea<1?27jmi4:c:?bea<2j27j9h4>3`9>e0c=9:301l;j:01;?8g2m3;8;63n5d8273=:i;4=`7f>45334k>i7?<3:?b1`<6;816m8k512289d3b288m70o:e;31a>;f=l0:>i52a4g957e<5h?n6<289>e0c=9;201l;j:005?8g2m3;9963n5d8261=:i44534k>i7?=1:?b1`<6:916m8k510d89d3b28;n70o:e;32`>;f=l0:=o52a4g954g<5h?n6169>e0c=98<01l;j:036?8g2m3;:863n5d8256=:i42734k>i7?;f=l0:>:52a4g954e<5h?n6;;f:m0:?;52a3f9563<5h8o6<=;;i4>309>e7b=9::01l44e34k9h7?=a:?b6a<6:016m?j513:89d4c288=70o=d;311>;f:m0:>952a3f9575<5h8o6<<=;i4>219>e7b=98l01l47>34k9h7?>8:?b6a<69>16m?j510489d4c28;>70o=d;320>;f:m0:=>52a3f9544<5h8o6<:?;i4>3d9>e7b=9:n01l63n2e8262=:i;n1=47634k9h7?>0:?b6a<0927j>i480:?b6a<1n27j>i49e:?b6a<1l27j>i49c:?b6a<1j27j>i49a:?b6a<1127j>i498:?b6a<1>27j>i495:?b6a<1<27j>i493:?b6a<1:27j>i491:?b6a<1827j>i4:f:?b6a<2m27j>i4:d:?b6a<0127j>i488:?b6a<0?27j>i486:?b6a<0=27j>i484:?b6a<0;27j>i497:?b6a<2k27j>i4:b:?b6f<6;h16m?m512;89d4d289370o=c;303>;f:j0:?;52a3a9563<5h8h6<=;;n4>309>e7e=9::01l44e34k9o7?=a:?b6f<6:016m?m513:89d4d288=70o=c;311>;f:j0:>952a3a9575<5h8h6<<=;n4>219>e7e=98l01l47>34k9o7?>8:?b6f<69>16m?m510489d4d28;>70o=c;320>;f:j0:=>52a3a9544<5h8h6<:?;n4>3d9>e7e=9:n01l63n2b8262=:i;i1=47634k9o7?>0:?b6f<0927j>n480:?b6f<1n27j>n49e:?b6f<1l272hi4>3`9>=ab=9:3014jk:01;?8?cl3;8;636de8273=:1mn1=>;4=8fg>453343oh7?<3:?:`a<6;8165ij512289;>lm0:>i529ef957e<50no6<289>=ab=9;2014jk:005?8?cl3;99636de8261=:1mn1=?=4=8fg>445343oh7?=1:?:`a<6:9165ij510d89;>lm0:=o529ef954g<50no6169>=ab=98<014jk:036?8?cl3;:8636de8256=:1mn1=<<4=8fg>427343oh7?;>lm0:>:529ef954e<50no6;<;g`?768272hi481:?:`a<08272hi49f:?:`a<1m272hi49d:?:`a<1k272hi49b:?:`a<1i272hi499:?:`a<10272hi496:?:`a<1=272hi494:?:`a<1;272hi492:?:`a<19272hi490:?:`a<2n272hi4:e:?:`a<2l272hi489:?:`a<00272hi487:?:`a<0>272hi485:?:`a<0<272hi483:?:`a<1?272hi4:c:?:`a<2j2724h4>3`9>==c=9:30146j:01;?8??m3;8;6368d8273=:11o1=>;4=8:f>4533433i7?<3:?:<`<6;81655k512289<>b288m7077e;31a>;>0l0:>i5299g957e<502n6<289>==c=9;20146j:005?8??m3;996368d8261=:11o1=?=4=8:f>4453433i7?=1:?:<`<6:91655k510d89<>b28;n7077e;32`>;>0l0:=o5299g954g<502n6169>==c=98<0146j:036?8??m3;:86368d8256=:11o1=<<4=8:f>4273433i7?b289h7077e;306>;>0l0:>:5299g954e<502n6;<;;a?7682724h481:?:<`<082724h49f:?:<`<1m2724h49d:?:1a<6;h1658j512;89<3c2893707:d;303>;>=m0:?;5294f9563<50?o6<=;;<;6`?74;2729i4>309>=0b=9::014;k:00e?8?2l3;9i6365e826a=:144e343>h7?=a:?:1a<6:01658j513:89<3c288=707:d;311>;>=m0:>95294f9575<50?o6<<=;<;6`?7592729i4>219>=0b=98l014;k:03f?8?2l3;:h6365e825g=:147>343>h7?>8:?:1a<69>1658j510489<3c28;>707:d;320>;>=m0:=>5294f9544<50?o6<:?;<;6`?74n2729i4>3d9>=0b=9:n014;k:01`?8?2l3;8>6365e8262=:1476343>h7?>0:?:1a<092729i480:?:1a<1n2729i49e:?:1a<1l2729i49c:?:1a<1j2729i49a:?:1a<112729i498:?:1a<1>2729i495:?:1a<1<2729i493:?:1a<1:2729i491:?:1a<182729i4:f:?:1a<2m2729i4:d:?:1a<012729i488:?:1a<0?2729i486:?:1a<0=2729i484:?:1a<0;2729i497:?:1a<2k2729i4:b:?:1f<6;h1658m512;89<3d2893707:c;303>;>=j0:?;5294a9563<50?h6<=;;<;6g?74;2729n4>309>=0e=9::014;l:00e?8?2k3;9i6365b826a=:144e343>o7?=a:?:1f<6:01658m513:89<3d288=707:c;311>;>=j0:>95294a9575<50?h6<<=;<;6g?7592729n4>219>=0e=98l014;l:03f?8?2k3;:h6365b825g=:147>343>o7?>8:?:1f<69>1658m510489<3d28;>707:c;320>;>=j0:=>5294a9544<50?h6<:?;<;6g?74n2729n4>3d9>=0e=9:n014;l:01`?8?2k3;8>6365b8262=:1476343>o7?>0:?:1f<092729n480:?:1f<1n2729n49e:?:1f<1l279>kl512c8974aj3;8563=2g`956><5;8mn7?<7:?16cd=9:<01?;5:oh1=>:4=30ef?74;279>kl51238974aj3;8<63=2g`957`<5;8mn7?=e:?16cd=9;n01?;5:oh1=?l4=30ef?75i279>kl513;8974aj3;9463=2g`9570<5;8mn7?=5:?16cd=9;>01?;5:oh1=?<4=30ef?759279>kl51328974aj3;:j63=2g`954c<5;8mn7?>d:?16cd=98h01?;5:oh1=<74=30ef?760279>kl51058974aj3;::63=2g`9543<5;8mn7?>4:?16cd=98901?;5:oh1=9>4=30ef?74n279>kl512g8974aj3;8h63=2g`956e<5;8mn7?<2:?16cd=9;=01?;5:oh1=kl5709>67`e2>:01?016>?hm:7:8974aj3<=70<=fc851>;5:oh1:95223da>35<5;8mn78=;<01bg<19279>kl5619>67`e2h63=2g`93<=::;li6:64=30ef?103489jo486:?16cd=?<16>?hm:668974aj3=870<=fc853>;5:oh19n5223da>0d<5;8jo7?;5:hi1=>94=30bg?74>279>lm51278974fk3;8863=2`a9565<5;8jo7?<1:?16de=9::01?;5:hi1=?k4=30bg?75l279>lm513a8974fk3;9n63=2`a957g<5;8jo7?=9:?16de=9;201?;5:hi1=?;4=30bg?75<279>lm51318974fk3;9>63=2`a9577<5;8jo7?=0:?16de=98l01?;5:hi1=lm510c8974fk3;:563=2`a954><5;8jo7?>7:?16de=98<01?;5:hi1=<:4=30bg?76;279>lm51008974fk3;?<63=2`a956`<5;8jo7?;5:hi1=><4=30bg?75?279>lm510a8974fk3;:=63=2`a9546<5;8jo79>;<01ef<08279>lm56g9>67gd2?o01?45>3489;o4>399>671e289<70<=7c8273=::;=i6<=:;<013g<6;=16>?9m:010?845?k0:?<52235a>4573489;o4>2g9>671e288n70<=7c826a=::;=i6<?9m:00b?845?k0:>452235a>44?3489;o4>279>671e288>70<=7c8261=::;=i6<<<;<013g<6:;16>?9m:002?845?k0:>=52235a>47a3489;o4>1d9>671e28;o70<=7c825g=::;=i6?9m:03;?845?k0:=:52235a>4713489;o4>149>671e28;?70<=7c8256=::;=i6?9m:01e?845?k0:?h52235a>45c3489;o4>3b9>671e289970<=7c8262=::;=i6?9m:033?845?k0<=63=26`935=::;=i6;h4=304f?0b3489;o49d:?162d=>j16>?9m:7`89740j3;5:>h1:552235a>30<5;8:l5629>671e2?801?<8b;42?845?k0=<63=26`91c=::;=i68k4=304f?3c3489;o489:?162d=?116>?9m:6589740j3==70<=7c841>;5:>h1;952235a>25<5;8:l55c9>671f289j70<=7`827<=::;=j6<=7;<013d<6;>16>?9n:015?845?h0:?852235b>4533489;l4>329>671f289:70<=7`8275=::;=j6<?9n:00g?845?h0:>n52235b>44e3489;l4>2`9>671f288270<=7`826==::;=j6<<9;<013d<6:<16>?9n:007?845?h0:>>52235b>4453489;l4>209>671f288;70<=7`825c=::;=j6?9n:03a?845?h0:=l52235b>47>3489;l4>199>671f28;<70<=7`8253=::;=j6?9n:030?845?h0:=?52235b>4273489;l4>3g9>671f289n70<=7`827a=::;=j6<=l;<013d<6;;16>?9n:004?845?h0:=n52235b>4763489;l4>119>671f2>;01?<8a;53?845?h0=j63=26c92`=::;=j6;j4=4ab>45f34?hm7?<9:?6gd<6;1169no5125890ef289=70;la;301>;2kh0:?9525bc9565<5;<7`e?74827>ol4>2g9>1fg=9;o018mn:00g?83di3;9o63:c`826g=:=jk1=?o4=4ab>44>34?hm7?=8:?6gd<6:?169no5137890ef288?70;la;317>;2kh0:>?525bc9577<5ol4>1d9>1fg=98n018mn:03a?83di3;:m63:c`825<=:=jk1=<64=4ab>47034?hm7?>6:?6gd<69<169no5106890ef28;870;la;326>;2kh0:8=525bc956`<5ol4>3b9>1fg=9:8018mn:004?83di3;:o63:c`8254=:=jk1=<>4=4ab>27<54=4ab>3`<53b<53d<53?<530<532<534<536<50c<52?<521<523<525<50e<545f34?h57?<9:?6g<<6;1169n75125890e>289=70;l9;301>;2k00:?9525b;9565<5;<7`=?74827>o44>2g9>1f?=9;o018m6:00g?83d13;9o63:c8826g=:=j31=?o4=4a:>44>34?h57?=8:?6g<<6:?169n75137890e>288?70;l9;317>;2k00:>?525b;9577<5o44>1d9>1f?=98n018m6:03a?83d13;:m63:c8825<=:=j31=<64=4a:>47034?h57?>6:?6g<<69<169n75106890e>28;870;l9;326>;2k00:8=525b;956`<5o44>3b9>1f?=9:8018m6:004?83d13;:o63:c88254=:=j31=<>4=4a:>27<54=4a:>3`<53b<5;9?n7?;5;=h1=>94=317f?74>279?9l512789753j3;8863=35`9565<5;9?n7?<1:?171d=9::01?=;b;31b>;5;=h1=?k4=317f?75l279?9l513a89753j3;9n63=35`957g<5;9?n7?=9:?171d=9;201?=;b;312>;5;=h1=?;4=317f?75<279?9l513189753j3;9>63=35`9577<5;9?n7?=0:?171d=98l01?=;b;32a>;5;=h1=<5;9?n7?>7:?171d=98<01?=;b;321>;5;=h1=<:4=317f?76;279?9l510089753j3;?<63=35`956`<5;9?n7?;5;=h1=><4=317f?75?279?9l510a89753j3;:=63=35`9546<5;9?n79>;<000g<08279?9l56g9>662e2?o01?=;b;4g?844i6;o4=317f?0>34888o498:?171d=>?16>>:m:7789753j3;5;=h1:?52226a>37<5;9?n78?;<000g<2n279?9l55d9>662e2i6:84=317f?1234888o484:?171d=?:16>>:m:7589753j3?h70<<4c86f>;5;=k1=>o4=317e?741279?9o512:89753i3;8;63=35c9560<5;9?m7?<5:?171g=9:>01?=;a;307>;5;=k1=>?4=317e?748279?9o513d89753i3;9i63=35c957b<5;9?m7?=c:?171g=9;h01?=;a;31e>;5;=k1=?74=317e?750279?9o513489753i3;9963=35c9572<5;9?m7?=3:?171g=9;801?=;a;315>;5;=k1=?>4=317e?76n279?9o510g89753i3;:h63=35c954d<5;9?m7?>a:?171g=98301?=;a;32<>;5;=k1=<94=317e?76>279?9o510789753i3;:863=35c9545<5;9?m7?>2:?171g=9=:01?=;a;30b>;5;=k1=>k4=317e?74l279?9o512a89753i3;8>63=35c9571<5;9?m7?>c:?171g=98;01?=;a;324>;5;=k1;<52226b>26<5;9?m78i;<000d<1m279?9o56e9>674e289j70<=2c827<=::;8i6<=7;<016g<6;>16>?4533489>o4>329>674e289:70<=2c8275=::;8i6<?n52230a>44e3489>o4>2`9>674e288270<=2c826==::;8i6<<9;<016g<6:<16>?>52230a>4453489>o4>209>674e288;70<=2c825c=::;8i6?47>3489>o4>199>674e28;<70<=2c8253=::;8i6?4273489>o4>3g9>674e289n70<=2c827a=::;8i6<=l;<016g<6;;16>?4763489>o4>119>674e2>;01?<=b;53?845:k0=j63=23`92`=::;8i6;j4=301f?0d3489>o49b:?167d=>h16>?;5:;h1:852230a>32<5;89n78<;<016g<1:279>?l5609>674e2?:01?<=b;7e?845:k0>i63=23`91a=::;8i6:74=301f?1?3489>o487:?167d=??16>?;5:;h1::52230a>0e<5;89n7;m;<016d<6;h16>?4503489>l4>379>674f289>70<=2`8271=::;8j6<=<;<016d<6;816>?k52230b>44b3489>l4>2e9>674f288h70<=2`826g=::;8j6<?;52230b>4423489>l4>259>674f288870<=2`8267=::;8j6<<>;<016d<6:916>?47c3489>l4>1c9>674f28;j70<=2`825<=::;8j616>?4733489>l4>129>674f28;970<=2`8205=::;8j6<=i;<016d<6;l16>?4553489>l4>269>674f28;h70<=2`8254=::;8j6?o5719>674f2?l01?<=a;4f?845:h0=h63:7`827d=:=>k1=>74=45b>45?34?;2?h0:?<5256c9566<5<=j6<;l4>2e9>12g=9;i0189n:00a?830i3;9m63:7`826<=:=>k1=?64=45b>44134?;2?h0:>=5256c954`<5<=j6;l4>1c9>12g=98k0189n:03:?830i3;:463:7`8252=:=>k1=<84=45b>47234?4:?63d<69:169:o51008901f28>;70;8a;30b>;2?h0:?h5256c956b<5<=j6<=l;<74e?74:27>;l4>269>12g=98i0189n:032?830i3;:<63:7`845>;2?h0<<63:7`85b>;2?h0=i63:7`85`>;2?h0=o63:7`85f>;2?h0=m63:7`85=>;2?h0=463:7`852>;2?h0=963:7`850>;2?h0=?63:7`856>;2?h0==63:7`854>;2?h0>j63:7`86a>;2?h0>h63:7`84=>;2?h0<463:7`843>;2?h0<:63:7`841>;2?h0<863:7`847>;2?h0=;63:7`86g>;2?h0>n63:78827d=:=>31=>74=45:>45?34?<57?<7:?63<<6;?169:751278901>289?70;89;307>;2?00:?<5256;9566<5<=26<;44>2e9>12?=9;i01896:00a?83013;9m63:78826<=:=>31=?64=45:>44134?<57?=5:?63<<6:=169:751318901>288970;89;315>;2?00:>=5256;954`<5<=26;44>1c9>12?=98k01896:03:?83013;:463:788252=:=>31=<84=45:>47234?<57?>4:?63<<69:169:751008901>28>;70;89;30b>;2?00:?h5256;956b<5<=26<=l;<74=?74:27>;44>269>12?=98i01896:032?83013;:<63:78845>;2?00<<63:7885b>;2?00=i63:7885`>{t:?;?6=4={<0556<53;0573=z{0?86=4>ez?:16<6ml16mkj51c489g6f28h=70l>2;3a2>;e9:0:n;52b0695g0<5k;>627i=:4>b79>f4>=9k<01lhl:0`5?8gam3;i:63nfg82f3=:j9:1=o84=c22>4d134h;>7?m6:?a46<6j?16n=:51c489g6228h=70l?6;3a2>;e8>0:n;52b1:95g0<5k:2627ib79>f5b=9k<01o>j:0`5?8d7n3;i:63m1182f3=:j8;1=o84}r;6e?6=:r729l4>ed9>=05=:h=0q~7:2;296~;>=;0:ih52941953657>52z?:1<<6ml1658<52`58yv?2j3:1>v365c82a`=:1<81=4;4}r;65?6=:r729<4>ed9>=04=9?:0q~7:8;296~;>=10:ih5294396d1<7>52z?:15<6ml1658?51728yv?2?3:1>v365682a`=:1<:1>l94}r;7b?6=:r728k4>ed9>=06=9?:0q~7:6;296~;>=?0:ih5295d96d152z?:0`<6ml1659h51728yv?2=3:1>v365482a`=:1=o1>l94}r;7`?6=:r728i4>ed9>=1c=9?:0q~7:4;296~;>==0:ih5295f96d152z?;<=<6k<164;651dg8yv>303:1>v374982a`=:0121=;>4}r:53?6=;r73854=a69><=1=9j?01588:0gf?xu?u285495`c<51>36<8?;<:;3?7182wx4;;50;1x9=212;k<70675;3`1>;?><0:ih5rs966>5<4s42?97?je:?;03<6>91645;51728yv>1<3:1?v374481e2=:01>1=n;4=947>4cb3ty3894?:2y><12=9lo015:::043?8>?<3;=<6s|87194?5|51>?6?o8;<:;7?7d=273:>4>ed9~w=242908w06;3;3fa>;?<=0::=5289195367>53z?;06<5i>1645<51b789=0528on7p}74383>6}:0=81=hk4=960>4073423>7?90:p<37=839p15:=:3c4?8>?93;h96376082a`=z{1>:6=4<{<:75?7bm2738?4>619><=7=9?:0q~690;297~;?<809m:5289295f3<51<;651dg89=2628<;70670;354>{t07g03424n3;ni637418225=:0>l1=;>4}r:6a?6=;r73?k4=a69><2c=9j?015;j:0gf?xu?;l0;6>u282g95`c<519m6<8?;<:4a?7182wx48j50;1x9=5b2;k<7068d;3`1>;?=m0:ih5rs91g>5<4s428h7?je:?;7`<6>9164:j51728yv>2k3:1?v373e81e2=:0>i1=n;4=97`>4cb3ty3?o4?:2y><6d=9lo015=k:043?8>0k3;=<6s|84c94?5|519i6?o8;<:4e?7d=2739l4>ed9~w=5f2908w06;?;k0::=5286c953657>53z?;7d<5i>164:751b789=3>28on7p}73883>6}:0:31=hk4=91b>407342<57?90:p<0>=839p15=6:3c4?8>003;h96375982a`=z{1936=4<{<:0619><2>=9?:0q~6:7;297~;?;109m:5286595f3<51?<6951dg89=5?28<;70687;354>{t0<<1<7=t=914>7g0342<:7?l5:?;13<6ml1v5=9:1808>4>3;ni637368225=:0><1=;>4}r:61?6=;r73?;4=a69><23=9j?015;::0gf?xu?;<0;6>u282795`c<519=6<8?;<:41?7182wx48:50;1x9=522;k<70684;3`1>;?==0:ih5rs917>5<4s42887?je:?;70<6>9164::51728yv>2;3:1?v373581e2=:0>91=n;4=970>4cb3ty3?>4?:2y><65=9lo015=;:043?8>0;3;=<6s|84094?5|51986?o8;<:46?7d=2739?4>ed9~w=552908w06<2;3fa>;?;:0::=528609536=7>53z?;77<5i>164:?51b789=3628on7p}74d83>6}:0=o1=hk4=911>407342<=7?90:p<3c=839p15:j:3c4?8>?m3;h96376d82a`=z{1>o6=4<{<:7`?7bm2738h4>619><=c=9?:0q~69d;297~;?{t0?i1<7=t=96`>7g03423o7?l5:?;2f<6ml1v5:m:1808>3j3;ni6374b8225=:01i1=;>4}r:5f?6=;r738o4=a69><=d=9j?0158m:0gf?xu?u285c95`c<51>i6<8?;<:;f?7182wx4;o50;1x9=2f2;k<7067a;3`1>;?>h0:ih5rs96:>5<4s42?57?je:?;0d<6>91645o51728yv>113:1?v374881e2=:0131=n;4=94:>4cb3ty38:4?:2y><11=9lo015:6:043?8>?13;=<6s|87494?5|51><6?o8;<:;2?7d=273:;4>ed9~w=5d2908w06;?<>0::=528949536n7>53z?;7f<5i>164:l51b789=3e28on7p}73083>6}:0:;1=hk4=91`>407342:3c4?8>083;h96375182a`=z{19;6=4<{<:04?7bm273?<4>619><26=9?:0q~6;f;297~;?;909m:5287d95f3<51>m6>ol:0`5?844im0:n;5222cf>4d13488mk4>b79>66d728h370<>7m:0`;?8441j0:n55222;g>4d134885h4>b99>66?a28h370<>o::0`5?844i?0:n;5222c4>4d13488m54>b79>66g>28h=70<;5:8l1>l94}r015`<72;q6>??j:0gf?8459o0::=5rs3011?6=:r79>?;51dg89746m38j;6s|233g>5<5s489=i4>ed9>677b28<;7p}=23694?4|5;8987?je:?164b=:h=0q~<=2883>7}::;826c;296~;5:8i1=hk4=302`?7182wx>?<<:181845::0:ih52233`>7g03ty9>?650;0x974503;ni63=20a95<3677e28on70<=1b8225=z{;89>7>52z?1674=9lo01?<>b;0b3>{t:;8<6=4={<0162<6ml16>??m:0;6?xu5:8k1<74cb3489=l4=a69~w74613:1>v3=20;95`c<5;8:m7?90:p67472909w0<=2182a`=::;;26?o8;|q165c=83;8w0<=0d82a`=:?><1=o74=656>4d>34=<87?m9:?436<6j016;:<51c;8921>28h270987;3a=>;0?80:n45276:95g?<5>;0?l0:n:5rs3021?6=:r79><;51dg89747m38j;6s|232g>5<5s489ed9>676b28<;7p}=20694?4|5;8:87?je:?165b=:h=0q~<=0b83>7}::;:h691v?<>3;296~;5:891=hk4=303g?4f?2wx>??7:181845910:ih52232`>4?23ty9>=l50;0x9747j3;ni63=21a9536677528on70<=0c81e2=z{;8:;7>52z?1641=9lo01?{t:;:j6=4={<014d<6ml16>?>m:043?xu5:8;1<7=o52`58yv459?0;6?u22335>4cb3489949~w74713:1>v3=21;95`c<5;8;m7?90:p67772909w0<=1182a`=::;:26?o8;|q165>=838p1?;5:931=;>4}r014c<72;q6>?>i:0gf?8458109m:5rs33f5?6=:r79>=?51b789745j38:<6s|20a3>5<5s48:o=4>ed9>676628<;7p}=1d294?5|5;89n7{t:8ho6=4<{<02fa<6ml16>?l521g8977el3;2963=1cg95g064de28on70<>be8225=::8ln6<8?;|q15ab=839p1?<=b;03`>;59kh1=4;4=33ag?7e>2wx>407348:ji4>619~w77ck3:1?v3=23`965e<5;;i57?65:?15gg=9k<0q~<>b683>6}::8h<6916>5<4s48:n84>ed9>64d028<;70<>fc8225=z{;;om7>53z?167d=:9k01??m5;3:1>;59k<1=o84}r02f1<72:q6>4073ty9=i750;1x977e<38j;63=1g;95f3<5;;o57?je:p64d42908w0<>b282a`=::8h?6<8?;<02b<<6>91v??k8;297~;59k91>l94=33eu220`1>4cb348:n>4>619>64`?28<;7p}=1e594?5|5;;i>7{t:8h:6=4<{<02f4<6ml16>0::=5rs33g2?6=;r79=o?52`58977a>3;h963=1e495`c64d728on70<>b08225=::8l=6<8?;|q15a3=839p1??m0;0b3>;59o?1=n;4=33g1?7bm2wx>407348:j84>619~w77c;3:1?v3=1`g96d1<5;;m?7?l5:?15a5=9lo0q~<>ae83>6}::8ko6916>5<4s48:mn4>ed9>64gc28<;70<>f38225=z{;;o=7>53z?15de=:h=01??i1;3`1>;59m;1=hk4}r02eg<72:q6>4073ty9=i>50;1x977fj38j;63=1g295f3<5;;o<7?je:p64gf2908w0<>a`82a`=::8ki6<8?;<02b5<6>91v??lf;297~;59hk1>l94=33fb?7d=279=nh51dg8yv46i00;6>u220c:>4cb348:ml4>619>64ca28<;7p}=1bg94?5|5;;j57{t:8k36=4<{<02e=<6ml16>64g028on70<>a98225=::8oo6<8?;|q15fe=839p1??n7;0b3>;59li1=n;4=33`g?7bm2wx>407348:in4>619~w77dj3:1?v3=1`496d1<5;;nn7?l5:?15fd=9lo0q~<>a483>6}::8k>6916>5<4s48:o:4>ed9>64g228<;70<>e`8225=z{;;n;7>53z?15f1=:h=01?;59l=1=hk4}r02g3<72:q6>0::=522324>4073ty9=h850;1x977d>38j;63=21495f3<5;;n:7?je:p64e22908w0<>c482a`=::8i=6<8?;<0143<6>91v??j5;297~;59j?1>l94=3031?7d=279=h;51dg8yv46k=0;6>u220a7>4cb348:o84>619>676228<;7p}=1d694?5|5;;h87{t:8i86=4<{<02g6<6ml16>64e528on70<>c28225=::;:86<8?;|q15`4=839p1??l2;0b3>;5:981=n;4=33f6?7bm2wx>4073489619~w77cn3:1?v3=1cd96d1<5;;mj7?l5:?15a`=9lo0q~<>ag83>6}::8km6916>1<7=t=33bb?4f?279=k:51b78977c<3;ni6s|20c7>5<4s48:m94>ed9>64ga28<;70<>f58225=z{;;h57>53z?15d2=:h=01??j9;3`1>;59j31=hk4}r02e6<72:q6>4073ty9=n650;1x977f;38j;63=1d:95f3<5;;h47?je:p72d=838p1>9m:0gf?85>j3;h96s|34`94?4|5:?i6;41h0:o85rs27:>5<4s49>57?je:?01g<6>916?4o51728yv5003:1?v3<7982a`=:;<31>l94=2;;>4e23ty8954?:2y>70>=9lo01>;6:043?85>03;=<6s|36594?5|5:=<6c49~w6302908w0=:7;3fa>;4=10::=52385953653z?033<6ml16?8952`5896?128i>7p}<5783>6}:;<<1=hk4=274>4073492:7?90:p723=839p1>9::0gf?852>38j;63<9482g0=z{:?>6=4<{<161?7bm2789;4>619>7<3=9?:0q~=84;297~;4?=0:ih5234796d1<5:3?6{t;>91<7=t=250>4cb349>87;<:180852;3;ni63<558225=:;091=;>4}r146?6=;r78;?4>ed9>705=:h=01>7=:0a6?xu4=;0;6>u234095`c<5:?86<8?;<1:6?7182wx?:?50;1x961628on70=:2;0b3>;4180:o85rs272>5<4s49>=7?je:?017<6>916?4?51728yv5083:1?v3<7182a`=:;<;1>l94=2;3>4e23ty89=4?:2y>706=9lo01>;>:043?85>83;=<6s|37d94?5|5:c49~w62b2908w0=;e;3fa>;4=90::=5239d953653z?02a<6ml16?9k52`5896>c28i>7p}<4e83>6}:;=n1=hk4=26f>4073493h7?90:p73e=839p1>8l:0gf?853l38j;63<8b82g0=z{:>h6=4<{<17g?7bm2788i4>619>7=e=9?:0q~=9b;297~;4>k0:ih5235a96d1<5:2i6{t;?k1<7=t=24b>4cb349?n7:n:180853i3;ni63<4c8225=:;1k1=;>4}r15=?6=;r78:44>ed9>71g=:h=01>66:0a6?xu4<00;6>u235;95`c<5:>j6<8?;<1;=?7182wx?;650;1x960?28on70=;9;0b3>;4010:o85rs26;>5<4s49?47?je:?00<<6>916?5651728yv51?3:1?v3<6682a`=:;=21>l94=2:4>4e23ty88:4?:2y>711=9lo01>:7:043?85??3;=<6s|37494?5|5:<=6c49~w6212908w0=;6;3fa>;4<>0::=52394953653z?020<6ml16?9852`5896>228i>7p}<4483>6}:;=?1=hk4=265>407349397?90:p732=839p1>8;:0gf?853=38j;63<8582g0=z{:<:6=4<{<155?7bm278884>619>7=2=9?:0q~=71;297~;4080:ih5237396d1<5:k:651dg8960628<;70=n1;354>{t;1:1<7=t=2:3>4cb349=<7;i:180852n3;ni63<618225=:;h:1=;>4}r14b?6=;r78;k4>ed9>70`=:h=01>7i:0a6?xu4=l0;6>u234g95`c<5:?m6<8?;<1:b?7182wx?:k50;1x961b28on70=:e;0b3>;41l0:o85rs27g>5<4s49>h7?je:?01`<6>916?4k51728yv50l3:1?v3<7e82a`=:;l94=2;g>4e23ty89n4?:2y>70e=9lo01>;k:043?85>l3;=<6s|36a94?5|5:=h6c49~w63f2908w0=:a;3fa>;4=j0::=5238a953653z?03<<6ml16?8o52`5896?>28i>7p}<4g83>6}:;=l1=hk4=27b>407349257?90:p73c=839p1>8j:0gf?853n38j;63<8d82g0=z{:>?6=4<{<170?7bm2788k4>619>7=c=9?:0q~=93;297~;4>:0:ih5235696d1<5:286{t;?81<7=t=241>4cb349??7em3;ni637e78225=z{1hj6=4<{<:ae?7bm273nh4>619><`3=9?:0q~6m8;297~;?j10:ih528cc9536<51o86<8?;|q;f3<72:q64o851dg89=d?28<;706j2;354>{t0k>1<7=t=9`7>4cb342i:7?90:?;a4<6>91v5l=:1808>e:3;ni637b58225=:0l:1=;>4}r:a4?6=;r73n=4>ed9>u28`g95`c<51h;6<8?;<:ga?7182wx4lm50;1x9=gd28on706ne;354>;?lm0::=5rs9cb>5<4s42jm7?je:?;ef<6>9164im51728yv>f03:1?v37a982a`=:0hk1=;>4=9fa>4073ty3m94?:2y>ci3;=<6s|8`094?5|51k96619~w=g72908w06n0;3fa>;?i;0::=528e5953653z?;=`<6ml164l>517289=b128<;7p}79b83>6}:00i1=hk4=9;f>407342o97?90:p<>k3;=<637d58225=z{1336=4<{<::619>{t0081<7=t=9;1>4cb342287?90:?;`5<6>91v5mn:1808>di3;ni637938225=:0jl1=;>4}r:`ed9>u28b495`c<51i36<8?;<:fg?7182wx4n:50;1x9=e328on706l6;354>;?mk0::=5rs9a1>5<4s42h>7?je:?;g1<6>9164ho51728yv>d83:1?v37c182a`=:0j81=;>4=9g:>4073ty3nn4?:2y>b03;=<6s|8`494?5|51k=6619~w=?72908w0660;3fa>;?i?0::=528e;953653z?;517289=eb28<;7p}7cb83>6}:01l1>l94=9ag>4e2342ho7?je:p=4b=838p14?k:0gf?8?3=3;=<6s|90;94?5|50;26619~w<702908w07>7;3fa>;>900::=52950953653z?:50<6ml165<9517289<2628<;7p}61283>6}:1891=hk4=836>407343?<7?90:p=47=839p14?>:0gf?8?6;3;=<6363g8225=z{0:m6=4<{<;3b?7bm272=<4>619>=6c=9?:0q~7?d;297~;>8m0:ih5291d9536<509o6<8?;|q:4g<72:q65=l51dg89<6c28<;707{t1931<7=t=82:>4cb343;n7?90:?:7g<6>91v4>8:1808?7?3;ni636088225=:1:k1=;>4}r;37?6=;r72<>4>ed9>=51=9?:014=6:043?xu>880;6>u291395`c<50:86<8?;<;03?7182wx4kh50;1x9=`a28on707?1;354>;>;?0::=5rs9dg>5<4s42mh7?je:?;bc<6>9165>;51728yv>aj3:1?v37fc82a`=:0on1=;>4=817>4073ty3j44?:2y>619~w=`22908w06i5;3fa>;?n>0::=52923953653z?;b6<6ml164k;517289<5728<;7p}7f083>6}:0o;1=hk4=9d0>4073439j7?90:p=7?=839p14<6:0gf?8>a93;=<6362d8225=z{08<6=4<{<;13?7bm272>44>619>=1e=9?:0q~7=5;297~;>:<0:ih529359536<50>i6<8?;|q:66<72:q65?=51dg89<4228<;707;a;354>{t1;;1<7=t=802>4cb3439?7?90:?:0<<6>91v4?i:1808?6n3;ni636208225=:1=21=;>4}r;2f?6=;r72=o4>ed9>=4`=9?:014:8:043?xu>8<0;6>u291795`c<50;i6<8?;<;77?7182wx4hh50;1x9=ca28on707?5;354>;>;10::=5rs9gf>5<4s42ni7?je:?;ac<6>9165?j51728yv?5j3:1?v37ed81e2=:1;i1=n;4=80a>4cb3ty985o50;0x972?i3;ni63=4`195f3611628on70<;a28225=z{;>357>53z?10=?=9lo01?:81;0b3>;599?:0gf?843?80::=5225c1>4073ty985650;1x972?03;ni63=46296d1<5;>j=7?l5:p610a2908w0<;6g82a`=::==;6<8?;<07e4<6>91v?:77;297~;5<1=1=hk4=365b?4f?2798l>51b78yv43>l0;6>u2254f>4cb348?:k4>619>61g728<;7p}=49494?5|5;>3:7?je:?103c=:h=01?:6f;3`1>{t:=98j:043?8431o0::=5rs36;0?6=;r7985:51dg89721k38j;63=48f95f3610e28on70<;6b8225=::=3o6<8?;|q10=5=839p1?:73;3fa>;5l94=36:g?7d=2wx>98n:180843>h0:ih52254a>407348?5n4>619~w72?:3:1?v3=49095`c<5;>=m76}::=<26916>97m:043?xu5<1;1<7=t=36;5?7bm2798;752`58972>i3;h96s|254;>5<4s48?:54>ed9>610>28<;70<;9`8225=z{;>3<7>53z?10=6=9lo01?:98;0b3>;5<031=n;4}r0722<72:q6>988:0gf?843>10::=5225;:>4073ty98:h50;1x9720n3;ni63=47596d1<5;>247?l5:p61012908w0<;6782a`=::=<<6<8?;<07==<6>91v?:8e;297~;5<>o1=hk4=3652?4f?27984951b78yv43><0;6>u22546>4cb348?:;4>619>61?028<;7p}=46f94?5|5;>{t:=98::043?8431?0::=5rs364g?6=;r798:m51dg89721<38j;63=48795f34?:2y>610428on70<;658225=::=3>6<8?;|q102d=839p1?:8b;3fa>;5l94=36:0?7d=2wx>997:180843?10:ih522540>407348?594>619~w72>93:1?v3=48395`c<5;><476}::==<6916>9on:043?xu5<0:1<7=t=36:4?7bm2798:952`58972f13;h96s|2555>5<4s48?;;4>ed9>611028<;70<;a88225=z{;>3j7>53z?10=`=9lo01?:86;0b3>;599::0gf?843??0::=5225c;>4073ty985k50;1x972?m3;ni63=46796d1<5;>j;7?l5:p61132908w0<;7582a`=::==>6<8?;<07e2<6>91v?:7d;297~;5<1n1=hk4=3640?4f?2798l851b78yv43?:0;6>u22550>4cb348?;94>619>61g128<;7p}=49a94?5|5;>3o7?je:?1025=:h=01?:n5;3`1>{t:==96=4<{<0737<6ml16>99<:043?843i<0::=5rs36;f?6=;r7985l51dg89720:38j;63=4`695f3610c28on70<;738225=::=k?6<8?;|q10=3=839p1?:75;3fa>;5l94=36:a?7d=2wx>98=:180843>;0:ih52254g>407348?5h4>619~w720i3:1?v3=46c95`c<5;>=>76}::=<:6916>97<:043?xu5<>31<7=t=364=?7bm2798;?52`58972>:3;h96s|a`594?4|5h?n6?6l;{tih?1<77>f34kjh7<:9:ped2=838p1l;j:3::?8gfl38>46s|a`194?4|5h?n6?67;{tih;1<77>134kjh7<:5:ped6=838p1l;j:3:6?8gfl38>86s|a8g94?4|5h?n6?6<;{ti0i1<77>634kjh7<:0:pe{ti021<771c34kjh7<;c:pe<1=838p1l;j:35`?8gfl38?n6s|a8494?4|5h?n6?9m;{ti091<771?34kjh7<;7:pe<4=838p1l;j:354?8gfl38?:6s|a8394?4|5h?n6?99;50;0x9d3b2;=>70ond;070>{ti1l1<771334kjh7<;3:pe=c=838p1l;j:350?8gfl38?>6s|a9f94?4|5h?n6?9=;{ti1h1<771734kjh7<{ti1<1<770e34kjh7<{ti181<770034kjh7<<6:pe=7=838p1l;j:345?8gfl38896s|a9294?4|5h?n6?8:;{tihi1<77?534kjh7<91:pedd=838p1l;j:3;2?8gfl38=<6s|a`c94?4|5h?n6?7?;{tih21<77>b34kjh7<:d:pe<`=838p1l;j:3:7?8gfl38>?6s|a8694?4|5h?n6?96;{ti>o1<770434kjh7<<2:pe2b=838p1l;j:341?8gfl388=6s|a6794?4|5h?n6ol4=`cg>52z?b1`{ti>;1<7g1<5hko6464}rc44?6=:r7j9h4m6:?bea<>?2wxm;h50;0x9d3b2k?01lok:848yvg1m3:1>v3n5d8a0>;fim0296s|a7f94?4|5h?n6o=4=`cg><252z?b1`{ti?21<7dc<5hko65h4}rc53?6=:r7j9h4nd:?beav3n5d8bf>;fim03o6s|a7694?4|5h?n6lo4=`cg>=d52z?b1`{ti>i1<7f5<5hko6l:4}rc4f?6=:r7j9h4l2:?beav3n5d8`4>;fim0j=6s|a6:94?4|5h?n6oh4=`cg>d652z?b1`{ti?:1<7d0<5hko6594}rc6b?6=:r7j9h4n5:?bea2wxm8650;0x9d4c2;2h70o:e;06f>{ti<=1<77>e34k>i7<:a:pe00=838p1l56s|a4794?4|5h8o6?66;{ti<91<77>034k>i7<:6:pe04=838p1l96s|a4394?4|5h8o6?6:;{ti=o1<77>534k>i7<:1:pe1b=838p1l<6s|a5a94?4|5h8o6?6?;{ti=k1<771b34k>i7<;d:pe1?=838p1l{ti=<1<771f34k>i7<;9:pe12=838p1l2wxm9<50;0x9d4c2;==70o:e;071>{ti=;1<771234k>i7<;4:pe16=838p1lk50;0x9d4c2;=970o:e;075>{ti:n1<771634k>i7<;0:pe6e=838p1l750;0x9d4c2;{ti:21<770d34k>i7<;50;0x9d4c2;<270o:e;00<>{ti:>1<770?34k>i7<<7:pe65=838p1l?50;0x9d4c2;<>70o:e;000>{ti::1<770334k>i7<<3:pe0b=838p1l;{ti7>a34k>i7<:e:pe0?=838p1lh6s|a4294?4|5h8o6?6;;{ti:k1<770b34k>i7<6s|a3g94?4|5h8o6?8=;{tio?1<77>e34k9h7<:a:pec2=838p1l56s|ag194?4|5h8h6?66;{tio;1<77>034k9h7<:6:pec6=838p1l96s|add94?4|5h8h6?6:;{tili1<77>534k9h7<:1:pe`d=838p1l<6s|adc94?4|5h8h6?6?;{til21<771b34k9h7<;d:pe`1=838p1l{til>1<771f34k9h7<;9:pe`4=838p1l2wxmh>50;0x9d4d2;==70o=d;071>{timl1<771234k9h7<;4:peac=838p1l{timh1<771634k9h7<;0:peag=838p1l{tim<1<770d34k9h7<{tim81<770?34k9h7<<7:pea7=838p1l70o=d;000>{tijo1<770334k9h7<<3:pecd=838p1l;{tio21<77>a34k9h7<:e:pec1=838p1lh6s|adg94?4|5h8h6?6;;{tim21<770b34k9h7<6s|aba94?4|5h8h6?8=;v3n2b8ae>;f:m02n6s|ab094?4|5h8h6o74=`0g>52z?b6fi469:pef6=838p1l{tiko1<7g3<5h8o6484}rca`?6=:r7j>n4m4:?b6a<>=2wxmom50;0x9d4d2k901lv3n2b8a6>;f:m02?6s|ac;94?4|5h8h6o>4=`0g><752z?b6fi460:peg1=838p1l{tik?1<7de<5h8o65j4}rca0?6=:r7j>n4nb:?b6av3n2b8b=>;f:m03m6s|ac394?4|5h8h6l64=`0g>=?52z?b6fi478:pefd=838p1l0q~ola;296~;f:j0h>63n2e8b7>{tij31<7f7<5h8o6l<4}rc`n4l0:?b6a3:1>v3n2b8aa>;f:m02j6s|ab794?4|5h8h6oj4=`0g>52z?b6fi462:ped`=838p1l{t1m=1<77>d343oh7<:b:p=a0=838p146j:3:a?8?cl38>m6s|9e794?4|502n6?6n;<;g`?4212wx5i:50;0x9<>b2;22707kd;06<>{t1m91<77>?343oh7<:7:p=a4=838p146j:3:4?8?cl38>:6s|9e394?4|502n6?69;<;g`?42=2wx5i>50;0x9<>b2;2>707kd;060>{t1jo1<77>4343oh7<:2:p=fb=838p146j:3:1?8?cl38>=6s|9ba94?4|502n6?6>;<;g`?4282wx5nl50;0x9<>b2;2;707kd;07b>{t1jk1<771a343oh7<;e:p=f?=838p146j:35f?8?cl38?h6s|9b:94?4|502n6?9k;<;g`?43k2wx5n950;0x9<>b2;=h707kd;07f>{t1j<1<771e343oh7<;a:p=f3=838p146j:35b?8?cl38?56s|9b194?4|502n6?97;<;g`?43?2wx5n<50;0x9<>b2;=<707kd;072>{t1j;1<7711343oh7<;5:p=f6=838p146j:356?8?cl38?86s|9cd94?4|502n6?9;;<;g`?43;2wx5ok50;0x9<>b2;=8707kd;076>{t1kn1<7715343oh7<;1:p=ge=838p146j:352?8?cl38?<6s|9c`94?4|502n6?9?;<;g`?44n2wx5oo50;0x9<>b2;{t1k21<770c343oh7<b2;{t1k>1<770>343oh7<<8:p=g5=838p146j:34;?8?cl388;6s|9c094?4|502n6?88;<;g`?44>2wx5o?50;0x9<>b2;<=707kd;001>{t1k:1<7702343oh7<<4:p=d`=838p146j:347?8?cl388?6s|9ea94?4|502n6?7=;<;g`?4192wx5il50;0x9<>b2;3:707kd;054>{t1mk1<77?7343oh7<:f:p=a?=838p146j:3:e?8?cl38>i6s|9e:94?4|502n6?6j;<;g`?42l2wx5nh50;0x9<>b2;2?707kd;067>{t1j>1<771>343oh7<;8:p=g?=838p146j:34f?8?cl388h6s|9`g94?4|502n6?8<;<;g`?44:2wx5lj50;0x9<>b2;<9707kd;005>{t1h?1<7gd<50no64m4}r;b0?6=:r724h4ma:?:`a<>j2wx5l=50;0x9<>b2k3014jk:8c8yv?f:3:1>v368d8a<>;>lm0256s|9`394?4|502n6o94=8fg><>52z?:<`272hi467:p=<`=838p146j:c7890l0i8636de8:1>{t10n1<7g5<50no64:4}r;:g?6=:r724h4m2:?:`a<>;2wx54o50;0x9<>b2k:014jk:838yv?>13:1>v368d8bb>;>lm02<6s|98:94?4|502n6lk4=8fg>=`52z?:<`0l0jn636de8;g>{t10>1<7dg<50no65l4}r;:7?6=:r724h4n9:?:`ab2h2014jk:9;8yv?>93:1>v368d8b3>;>lm0346s|9`a94?4|502n6n=4=8fg>d252z?:<`0l0h<636de8b5>{t1h21<7g`<50no6l>4}r;b3?6=:r724h4me:?:`a<>n2wx5l850;0x9<>b2kn014jk:8g8yv?>j3:1>v368d8a5>;>lm02>6s|98294?4|502n6l84=8fg>=152z?:<`=838p14;k:3:`?8??m38>n6s|99594?4|50?o6?6m;<;;a?42i2wx55850;0x9<3c2;2j7077e;06=>{t11?1<77>>3433i7<:8:p==2=838p14;k:3:;?8??m38>;6s|99194?4|50?o6?68;<;;a?42>2wx55<50;0x9<3c2;2=7077e;061>{t11;1<77>23433i7<:4:p=2`=838p14;k:3:0?8??m38>>6s|96g94?4|50?o6?6=;<;;a?4292wx5:j50;0x9<3c2;2:7077e;064>{t1>i1<77>73433i7<;f:p=2d=838p14;k:35e?8??m38?i6s|96c94?4|50?o6?9j;<;;a?43l2wx5:750;0x9<3c2;=o7077e;07g>{t1>21<771d3433i7<;b:p=21=838p14;k:35a?8??m38?m6s|96494?4|50?o6?9n;<;;a?4312wx5::50;0x9<3c2;=37077e;073>{t1>91<77103433i7<;6:p=24=838p14;k:355?8??m38?96s|96394?4|50?o6?9:;<;;a?43<2wx5:>50;0x9<3c2;=?7077e;077>{t1?l1<77143433i7<;2:p=3c=838p14;k:351?8??m38?=6s|97f94?4|50?o6?9>;<;;a?4382wx5;m50;0x9<3c2;=;7077e;00b>{t1?h1<770a3433i7<{t1?<1<770f3433i7<<9:p=33=838p14;k:34:?8??m38846s|97694?4|50?o6?87;<;;a?44?2wx5;=50;0x9<3c2;<<7077e;002>{t1?81<77013433i7<<5:p=37=838p14;k:346?8??m38886s|97294?4|50?o6?8;;<;;a?44;2wx55j50;0x9<3c2;397077e;055>{t11i1<77?63433i7<90:p==d=838p14;k:3;3?8??m38>j6s|99c94?4|50?o6?6i;<;;a?42m2wx55750;0x9<3c2;2n7077e;06`>{t11:1<77>33433i7<:3:p=23=838p14;k:35:?8??m38?46s|97c94?4|50?o6?8j;<;;a?44l2wx58h50;0x9<3c2;<87077e;006>{t17053433i7<<1:pe70=838p14;l:3:`?8?2l38>n6s|a3794?4|50?h6?6m;<;6`?42i2wxm?:50;0x9<3d2;2j707:d;06=>{ti;91<77>>343>h7<:8:pe74=838p14;l:3:;?8?2l38>;6s|a3394?4|50?h6?68;<;6`?42>2wxm?>50;0x9<3d2;2=707:d;061>{ti8l1<77>2343>h7<:4:pe4b=838p14;l:3:0?8?2l38>>6s|a0a94?4|50?h6?6=;<;6`?4292wxm{ti8k1<77>7343>h7<;f:pe4?=838p14;l:35e?8?2l38?i6s|a0:94?4|50?h6?9j;<;6`?43l2wxm<950;0x9<3d2;=o707:d;07g>{ti8<1<771d343>h7<;b:pe43=838p14;l:35a?8?2l38?m6s|a0694?4|50?h6?9n;<;6`?4312wxm<<50;0x9<3d2;=3707:d;073>{ti8;1<7710343>h7<;6:pe46=838p14;l:355?8?2l38?96s|a1d94?4|50?h6?9:;<;6`?43<2wxm=k50;0x9<3d2;=?707:d;077>{ti9n1<7714343>h7<;2:pe5e=838p14;l:351?8?2l38?=6s|a1`94?4|50?h6?9>;<;6`?4382wxm=o50;0x9<3d2;=;707:d;00b>{ti931<770a343>h7<{ti9>1<770f343>h7<<9:pe55=838p14;l:34:?8?2l38846s|a1094?4|50?h6?87;<;6`?44?2wxm=?50;0x9<3d2;<<707:d;002>{ti9:1<7701343>h7<<5:p=c`=838p14;l:346?8?2l38886s|9gg94?4|50?h6?8;;<;6`?44;2wxm?l50;0x9<3d2;39707:d;055>{ti;k1<77?6343>h7<90:pe7?=838p14;l:3;3?8?2l38>j6s|a3:94?4|50?h6?6i;<;6`?42m2wxm?950;0x9<3d2;2n707:d;06`>{ti8o1<77>3343>h7<:3:pe45=838p14;l:35:?8?2l38?46s|a1:94?4|50?h6?8j;<;6`?44l2wx5kj50;0x9<3d2;<8707:d;006>{t1oi1<7705343>h7<<1:p=c2=838p14;l:c`89<3c20i0q~7i3;296~;>=j0im6365e8:f>{t1o81<7g?<50?o64o4}r;e5?6=:r729n4m8:?:1a<>12wx5k>50;0x9<3d2k=014;k:8:8yv?bn3:1>v365b8a2>;>=m02;6s|9dg94?4|50?h6o;4=87g><052z?:1f0q~7jb;296~;>=j0i>6365e8:7>{t1l31<7g6<50?o64?4}r;f82wx5h950;0x9<3d2ho014;k:9d8yv?b>3:1>v365b8b`>;>=m03i6s|9d794?4|50?h6lm4=87g>=b52z?:1f=j0j56365e8;e>{t1l;1<7d><50?o6574}r;f4?6=:r729n4n7:?:1av365b8`6>;>=m0j?6s|9g;94?4|50?h6n?4=87g>d452z?:1f=j0ii6365e8:b>{t1o?1<7gb<50?o64k4}r;fe?6=:r729n4m1:?:1a<>:2wx5ih50;0x9<3d2h<014;k:958yv?cm3:1>v365b8b1>;>=m03:6s|23d6>5<5s489mn4=8b9>67`e2;?i7p}=2g694?4|5;8jo7<7b:?16cd=:7}::;kh6?6n;<01bg<5=01v?574=30ef?4202wx>?h>:181845ij09455223da>7303ty9>k>50;0x974fk383;63=2g`960067gd2;2=70<=fc8110=z{;8ni7>52z?16de=:1?01?{t:;oh6=4={<01ef<50:16>?hm:371?xu5:lh1<7kl52438yv45mh0;6?u223c`>7>63489jo4=519~w74b13:1>v3=2`a96=6<5;8mn7<;f:p67c?2909w0<=ab813c=::;li6?:j;|q16`1=838p1?;5:oh1>9j4}r01a3<72;q6>?ol:35g?845nk098n5rs30f1?6=:r79>lm526a8974aj38?n6s|23g7>5<5s489mn4=7c9>67`e2;>j7p}=2d194?4|5;8jo7<8a:?16cd=:=30q~<=e083>7}::;kh6?97;<01bg<5<>1v?:94=30ef?43>2wx>?ji:181845ij09;;5223da>7223ty9>ik50;0x974fk38<963=2g`961267gd2;=?70<=fc8106=z{;8oo7>52z?16de=:>901?{t:;ni6=4={<01ef<5?;16>?hm:362?xu5:mk1<7kl52528yv45l00;6?u223c`>7173489jo4=3g9~w74c03:1>v3=2`a963`<5;8mn7<;5:oh1>>l4}r01`1<72;q6>?ol:34a?845nk09?l5rs30g7?6=:r79>lm527c8974aj38856s|23f1>5<5s489mn4=689>67`e2;937p}=2e394?4|5;8jo7<98:?16cd=::=0q~<=d183>7}::;kh6?88;<01bg<5;?1v?;84=30ef?44=2wx>?mj:181845ij09:85223da>7533ty9>nj50;0x974fk38=863=2g`966567gd2;3970<=fc8124=z{;8m57>52z?16de=:0;01?{t:;l36=4={<01ef<51916>?hm:37e?xu5:o=1<7kl524g8yv45n?0;6?u223c`>7>b3489jo4=5e9~w74bl3:1>v3=2`a96=2<5;8mn7<:3:p67c52909w0<=ab813<=::;li6?:7;|q16a1=838p1?;5:oh1>>j4}r01gf<72;q6>?ol:340?845nk09??5rs30`f?6=:r79>lm52708974aj388=6s|23a0>5<5s489mn4mb:?16cd=1j1v?67gd2k301?;5:oh1555rs30aa?6=:r79>lm5b79>67`e20=0q~<=be83>7}::;kh6o;4=30ef??13ty9>om50;0x974fk3h?70<=fc8:1>{t:;hi6=4={<01efkl5959~w74ei3:1>v3=2`a9f7=::;li64=4}r01f=<72;q6>?ol:c28974aj33:7p}=2c594?4|5;8jo7oi;<01bg<>82wx>?l9:181845ij0ji63=2g`952z?16de=im16>?hm:9g8yv45j=0;6?u223c`>de<5;8mn76k;|q16g5=838p1?5<5s489mn4na:?16cd=0k1v?=g67gd2h201?;5:oh1m95rs30`=?6=:r79>lm5c39>67`e2h90q~<=c983>7}::;kh6n?4=30ef?g53ty9>n950;0x974fk3i;70<=fc8b5>{t:;i=6=4={<01efkl5a19~w74d=3:1>v3=2`a9f`=::;li64h4}r01g1<72;q6>?ol:cf8974aj33n7p}=2c;94?4|5;8jo7l>;<01bg<>:2wx>?oj:181845ij0j:63=2g`9<2=z{;8jh7>52z?16de=i<16>?hm:948yv45i?0;6?u2235a>7>d3489mn4=5c9~w74f=3:1>v3=26`96=d<5;8jo7<:a:p67g32909w0<=7c81;5:hi1>864}r01e7<72;q6>?9m:3:;?845ij099:5rs30b5?6=:r79>:l52958974fk38>:6s|23c3>5<5s489;o4=879>67gd2;?>7p}=28d94?4|5;80q~<=9e83>7}::;=i6?6<;<01ef<5=;1v?<6c;296~;5:>h1>5<4=30bg?4292wx>?7m:181845?k094<5223c`>7373ty9>4o50;0x9740j383<63=2`a961`671e2;=m70<=ab810`=z{;8247>52z?162d=:>o01?{t:;3<6=4={<013g<5?m16>?ol:36`?xu5:0<1<7lm525`8yv451<0;6?u2235a>71e3489mn4=4`9~w74><3:1>v3=26`962g<5;8jo7<;9:p67?52909w0<=7c813==::;kh6?:8;|q16<7=838p1?<8b;043>;5:hi1>984}r01=5<72;q6>?9m:355?845ij09885rs30;b?6=:r79>:l52678974fk38?86s|23:f>5<5s489;o4=759>67gd2;>87p}=29f94?4|5;87}::;=i6?9=;<01ef<5<81v?<7b;296~;5:>h1>:?4=30bg?4382wx>?6n:181845?k09;=5223c`>75a3ty9>5750;0x9740j38=j63=2`a966c671e2;52z?162d=:?i01?{t:;2>6=4={<013g<5>k16>?ol:31b?xu5:1>1<7lm522;8yv450:0;6?u2235a>70>3489mn4=399~w74?:3:1>v3=26`963><5;8jo7<<7:p67>62909w0<=7c8122=::;kh6?=9;|q16=6=838p1?<8b;052>;5:hi1>>;4}r013c<72;q6>?9m:346?845ij09?95rs304a?6=:r79>:l52768974fk388?6s|23ca>5<5s489;o4=939>67gd2;<:7p}=2`c94?4|5;87}::;=i6?7?;<01ef<5=o1v?h1>5h4=30bg?42m2wx>?o8:181845?k094h5223c`>73c3ty9>4k50;0x9740j383863=2`a96054?:3y>671e2;=270<=ab810==z{;8347>52z?162d=:?o01?{t:;=o6=4={<013g<5>:16>?ol:311?xu5:>i1<7lm52238yv44<=0;6?u2235b>7>d3489;o4=5c9~w753;3:1>v3=26c96=d<5;8;5:>h1>864}r0005<72;q6>?9n:3:;?845?k099:5rs310b?6=:r79>:o529589740j38>:6s|221f>5<5s489;l4=879>671e2;?>7p}=32f94?4|5;80q~<<3c83>7}::;=j6?6<;<013g<5=;1v?=k1>5<4=304f?4292wx>>=6:181845?h094<52235a>7373ty9?>650;0x9740i383<63=26`961`671f2;=m70<=7c810`=z{;98:7>52z?162g=:>o01?<8b;07`>{t::9>6=4={<013d<5?m16>?9m:36`?xu5;:>1<7:l525`8yv44;:0;6?u2235b>71e3489;o4=4`9~w754:3:1>v3=26c962g<5;8;5:>h1>984}r006`<72;q6>?9n:355?845?k09885rs311`?6=:r79>:o526789740j38?86s|220`>5<5s489;l4=759>671e2;>87p}=33`94?4|5;87}::;=j6?9=;<013g<5<81v?==9;296~;5:>k1>:?4=304f?4382wx>><7:181845?h09;=52235a>75a3ty9??950;0x9740i38=j63=26`966c84?:3y>671f2;52z?162g=:?i01?<8b;00f>{t::886=4={<013d<5>k16>?9m:31b?xu5;;81<7:l522;8yv44:80;6?u2235b>70>3489;o4=399~w75583:1>v3=26c963><5;8;5:>h1>>;4}r005a<72;q6>?9n:346?845?k09?95rs312g?6=:r79>:o527689740j388?6s|226:>5<5s489;l4=939>671e2;<:7p}=35:94?4|5;87}::;=j6?7?;<013g<5=o1v?=;6;296~;5:>k1>5h4=304f?42m2wx>>:::181845?h094h52235a>73c3ty9?>m50;0x9740i383863=26`9605671f2;=270<=7c810==z{;99:7>52z?162g=:?o01?<8b;00`>{t::;i6=4={<013d<5>:16>?9m:311?xu5;8k1<7:l52238yv449;0;6?u2235b>gd<5;85<5s489;l4m9:?162d=1h1v?=?f;296~;5:>k1n552235a>671f2k=01?<8b;;;?xu5;9n1<7;5:>h15;5rs313f?6=:r79>:o5b59>671e20?0q~<<0`83>7}::;=j6o=4=304f??33ty9?=750;0x9740i3h970<=7c8:7>{t:::<6=4={<013d:l5909~w757>3:1>v3=26c9ec=::;=i64>4}r0040<72;q6>?9n:`g89740j32m7p}=31694?4|5;8>><:181845?h0jo63=26`97>52z?162g=ik16>?9m:9a8yv44880;6?u2235b>dg<5;85<5s489;l4n8:?162d=001v?k1m:52235a>=>671f2j901?<8b;c7?xu5;821<7;5:>h1m?5rs3122?6=:r79>:o5c19>671e2h;0q~<<1483>7}::;=j6oh4=304f?g73ty9?<:50;0x9740i3hn70<=7c8:b>{t::;86=4={<013d:l59d9~w75703:1>v3=26c9f4=::;=i64<4}r01ba<72;q6>?9n:`489740j32<7p}=2ga94?4|5;82wx:<=50;0x90e>2;2h70;la;06f>{t>881<77>e34?hm7<:a:p247=838p18m6:3:b?83di38>56s|60294?4|52;2370;la;063>{t>9o1<77>034?hm7<:6:p25b=838p18m6:3:5?83di38>96s|61a94?4|52;2870;la;066>{t>931<77>534?hm7<:1:p25>=838p18m6:3:2?83di38><6s|61594?4|52;=m70;la;07a>{t>9?1<771b34?hm7<;d:p252=838p18m6:35g?83di38?o6s|61194?4|52;=i70;la;07e>{t>9;1<771f34?hm7<;9:p1c`=838p18m6:35;?83di38?;6s|5gg94?4|52wx9kj50;0x90e>2;==70;la;071>{t=oi1<771234?hm7<;4:p1cd=838p18m6:357?83di38??6s|5gc94?4|52;=970;la;075>{t=o21<771634?hm7<;0:p1c1=838p18m6:353?83di388j6s|5g494?4|52;{t=o91<770d34?hm7<50;0x90e>2;<270;la;00<>{t=ll1<770?34?hm7<<7:p1`c=838p18m6:344?83di388:6s|5df94?4|52;<>70;la;000>{t=lh1<770334?hm7<<3:p24>=838p18m6:3;1?83di38==6s|60594?4|5;<7`e?4182wx:<850;0x90e>2;3;70;la;06b>{t>8?1<77>a34?hm7<:e:p242=838p18m6:3:f?83di38>h6s|61`94?4|550;0x90e>2;=270;la;07<>{t=o?1<770b34?hm7<6s|5d;94?4|52kh018mn:8a8yv3b83:1>v3:c88ae>;2kh02n6s|5ed94?4|552z?6g<ol469:p1ab=838p18m6:c5890ef2020q~;kc;296~;2k00i:63:c`8:3>{t=mh1<7g3<5o44m4:?6gd<>=2wx9i750;0x90e>2k9018mn:868yv3c03:1>v3:c88a6>;2kh02?6s|5e494?4|54=4ab><752z?6g<ol460:p1a2=838p18m6:`g890ef21l0q~;k3;296~;2k00jh63:c`8;a>{t=m81<7de<5o44nb:?6gd50;0x90e>2hk018mn:9`8yv3dn3:1>v3:c88b=>;2kh03m6s|5bg94?4|5=?52z?6g<ol478:p1`>=838p18m6:b1890ef2h>0q~;j7;296~;2k00h>63:c`8b7>{t=l<1<7f7<5o44l0:?6gd2kl018mn:`28yv3b;3:1>v3:c88aa>;2kh02j6s|5d094?4|552z?6g<ol462:p1fe=838p18m6:`4890ef21=0q~;lb;296~;2k00j963:c`8;2>{t::3?6=4={<000d<50j16>>:m:37a?xu5;091<77>f34888o4=589~w75>93:1>v3=35c96=?<5;9?n7<:8:p66?72909w0<<4`81<==:::>i6?;8;|q17=`=838p1?=;a;0;3>;5;=h1>884}r00<`<72;q6>>:n:3:5?84486s|22:a>5<5s4888l4=829>662e2;?97p}=39c94?4|5;9?m7<72:?171d=:<;0q~<<8883>7}:::>j6?6>;<000g<5=91v?=78;296~;5;=k1>5>4=317f?43n2wx>>68:18184472b3ty9?5850;0x9753i38662f2;=o70<<4c810f=z{;9387>52z?171g=:>i01?=;b;07f>{t::286=4={<000d<5?k16>>:m:36b?xu5;181<771?34888o4=469~w750n3:1>v3=35c9621<5;9?n7<;6:p661b2909w0<<4`8133=:::>i6?::;|q172b=838p1?=;a;041>;5;=h1>9:4}r003f<72;q6>>:n:357?8445rs314f?6=:r79?9o526189753j38?>6s|225b>5<5s4888l4=739>662e2;>:7p}=36;94?4|5;9?m7<81:?171d=:=:0q~<<7983>7}:::>j6?9?;<000g<5;o1v?=87;296~;5;=k1>;h4=317f?44m2wx>>9::18184475d3ty9?::50;0x9753i38=o63=35`966d4?:3y>662f2;7>52z?171g=:?k01?=;b;00=>{t::=:6=4={<000d<5>016>>:m:31;?xu5;>:1<7o0;6?u2226b>70034888o4=379~w751m3:1>v3=35c9630<5;9?n7<<5:p660c2909w0<<4`8120=:::>i6?=;;|q173e=838p1?=;a;050>;5;=h1>>=4}r00=<<72;q6>>:n:3;1?8445<5s4888l4=919>662e2;?m7p}=38494?4|5;9?m7<7f:?171d=:7}:::>j6?6j;<000g<5=m1v?=7c;296~;5;=k1>5:4=317f?42;2wx>>6>:18184472?3ty9?:850;0x9753i38=i63=35`966b662f2;<870<<4c8177=z{;9=m7>52z?171g=:?801?=;b;005>{t::<96=4={<000dv3=35c9fd=:::>i64l4}r0025<72;q6>>:n:c;89753j33j7p}=34d94?4|5;9?m7l7;<000g<>12wx>>;j:181844h7>52z?171g=j?16>>:m:858yv44=j0;6?u2226b>g3<5;9?n779;|q170d=838p1?=;a;`7?8445<5s4888l4m3:?171d=1=1v?=:9;296~;5;=k1n?52226a><5662f2k:01?=;b;;2?xu5;<<1<7;5;=h14k5rs3160?6=:r79?9o5ae9>662e21o0q~<<5283>7}:::>j6lm4=317f?>c3ty9?8<50;0x9753i3ki70<<4c8;g>{t::?:6=4={<000dv3=35c9e<=:::>i65o4}r000c<72;q6>>:n:`:89753j3227p}=35g94?4|5;9?m7o8;<000g>86:18184452z?171g=k;16>>:m:`18yv44>>0;6?u2226b>f7<5;9?n7o=;|q1730=838p1?=;a;a3?8445<5s4888l4mf:?171d=i91v?=94;296~;5;=k1nh52226a><`4?:3y>662f2kn01?=;b;;f?xu5;<21<7;5;=h14:5rs317g?6=:r79?9o5a49>662e21<0q~<>0783>7}:::>i6??m;<0725<6j?1v?<84;296~;5:;k1>5m4=301f?42j2wx>?9<:181845:h094o52230a>73f3ty9>:<50;0x9745i383m63=23`960?674f2;2270<=2c811==z{;8<<7>52z?167g=:1201?<=b;063>{t:;16>?279>?l52478yv45>m0;6?u2230b>7>23489>o4=559~w741j3:1>v3=23c96=5<5;89n7<:2:p670f2909w0<=2`81<7=::;8i6?;>;|q163?=838p1?<=a;0;5>;5:;h1>8>4}r012=<72;q6>??o526d89745j38?i6s|2345>5<5s489>l4=7d9>674e2;>o7p}=27794?4|5;89m7<8d:?167d=:=i0q~<=6583>7}::;8j6?9l;<016g<5:l4=301f?43i2wx>?8=:181845:h09;l52230a>72>3ty9>;>50;0x9745i38<463=23`9611674f2;=<70<=2c8103=z{;8>i7>52z?167g=:><01?<=b;071>{t:;?o6=4={<016d<5?<16>??l52518yv45=k0;6?u2230b>7143489>o4=439~w742i3:1>v3=23c9624<5;89n7<;1:p673>2909w0<=2`8134=::;8i6?:?;|q160>=838p1?<=a;044>;5:;h1>>h4}r0112<72;q6>??o527f89745j388o6s|2377>5<5s489>l4=6b9>674e2;9i7p}=24194?4|5;89m7<9b:?167d=::k0q~<=5383>7}::;8j6?8n;<016g<5;01v?<:1;296~;5:;k1>;74=301f?4402wx>?;?:181845:h09:552230a>7503ty9>9h50;0x9745i38=;63=23`9660674f2;<=70<=2c8170=z{;8?h7>52z?167g=:??01?<=b;000>{t:;>h6=4={<016d<5>=16>?31<7:279>?l52738yv45?10;6?u2230b>7?63489>o4=619~w740?3:1>v3=23c96<6<5;89n7<:f:p67112909w0<=2`81;5:;h1>8j4}r012f<72;q6>?5rs3055?6=:r79>?o526;89745j38?46s|2375>5<5s489>l4=6d9>674e2;9o7p}=25`94?4|5;89m7<93:?167d=::80q~<=4`83>7}::;8j6?8=;<016g<5;81v?<;2;296~;5:;k1no52230a>674f2kk01?<=b;;a?xu5:=:1<73489>o46a:p675a2909w0<=2`8a<>;5:;h1545rs300a?6=:r79>?o5b69>674e2020q~<=3e83>7}::;8j6o84=301f??03ty9>>m50;0x9745i3h>70<=2c8:2>{t:;9i6=4={<016d?l5949~w744i3:1>v3=23c9f6=::;8i64:4}r017<<72;q6>?92wx>?=9:181845:h0jj63=23`9=5=z{;8897>52z?167g=il16>?db<5;89n76j;|q1665=838p1?<=a;c`?845:k03h6s|2311>5<5s489>l4nb:?167d=0j1v?<<1;296~;5:;k1ml52230a>=d674f2h301?<=b;:b?xu5:;l1<7o479:p674b2909w0<=2`8b3>;5:;h1455rs307=?6=:r79>?o5c29>674e2h>0q~<=4983>7}::;8j6n<4=301f?g43ty9>9950;0x9745i3i:70<=2c8b6>{t:;>=6=4={<016d?l5a09~w743=3:1>v3=23c9fc=::;8i6l>4}r0101<72;q6>?m2wx>?=7:181845:h0i=63=23`9=7=z{;89h7>52z?167g=i?16>?d3<5;89n769;|q1553=838p1?<=b;02f>;5;oh1=o84}r7`7?6=:r7>;44=8b9>12g=:52z?63<<50h169:o524;8yv3d83:1>v3:7881<<=:=>k1>864}r7ab?6=:r7>;44=899>12g=:<=0q~;me;296~;2?0094:5256c960052z?63<<50?169:o52478yv3ek3:1>v3:7881<0=:=>k1>8:4}r7ae?6=:r7>;44=829>12g=:<80q~;m9;296~;2?0094?5256c960752z?63<<508169:o52428yv3e?3:1>v3:7881<5=:=>k1>9h4}r7a2?6=:r7>;44=7g9>12g=:=o0q~;m5;296~;2?009;h5256c961b52z?63<<5?m169:o525a8yv3e;3:1>v3:78813f=:=>k1>9l4}r7a6?6=:r7>;44=7c9>12g=:=k0q~;m1;296~;2?009;l5256c961?52z?63<<5?1169:o52558yv3fm3:1>v3:788132=:=>k1>984}r7b`?6=:r7>;44=779>12g=:=?0q~;nc;296~;2?009;85256c961252z?63<<5?=169:o52518yv3fi3:1>v3:788136=:=>k1>9<4}r7b=?6=:r7>;44=739>12g=:=;0q~;n8;296~;2?009;<5256c961652z?63<<5?9169:o522d8yv3f>3:1>v3:78812c=:=>k1>>k4}r7b0?6=:r7>;44=6e9>12g=::i0q~;n3;296~;2?009:n5256c966d7>52z?63<<5>k169:o522c8yv3f93:1>v3:78812d=:=>k1>>74}r7b4?6=:r7>;44=689>12g=::20q~;6f;296~;2?009:55256c966152z?63<<5>>169:o52248yv3>l3:1>v3:788123=:=>k1>>;4}r7:g?6=:r7>;44=649>12g=::>0q~;6b;296~;2?009:95256c966552z?63<<51;169:o52738yv3d?3:1>v3:7881=4=:=>k1>;>4}r7`2?6=:r7>;44=919>12g=:52z?63<<50l169:o524f8yv3ej3:1>v3:7881<1=:=>k1>8=4}r7a4?6=:r7>;44=789>12g=:=20q~;n5;296~;2?009:h5256c966b52z?63<<5>:169:o52208yv3>13:1>v3:788127=:=>k1>>?4}r7:5?6=:r7>;44mb:?63d<>k2wx94>50;0x901>2kk0189n:8`8yv3?n3:1>v3:788a=>;2?h02m6s|59g94?4|5<=26o64=45b>52z?63<;l468:p1=e=838p1896:c48901f20=0q~;7b;296~;2?00i963:7`8:2>{t=1k1<7g2<5<=j64;4}r7;=?6=:r7>;44m3:?63d<><2wx95650;0x901>2k80189n:818yv3?>3:1>v3:788a4>;2?h02=6s|59794?4|5<=26lh4=45b><652z?63<;l47f:p1=5=838p1896:`f8901f21o0q~;72;296~;2?00jo63:7`8;`>{t=1;1<7dd<5<=j65m4}r7;4?6=:r7>;44na:?63d2h30189n:9c8yv30m3:1>v3:788b<>;2?h0356s|56f94?4|5<=26l94=45b>=>52z?63<;l4n4:p1<1=838p1896:b08901f2h90q~;66;296~;2?00h=63:7`8b6>{t=0?1<7f6<5<=j6l?4}r7:0?6=:r7>;44mf:?63d2ko0189n:8d8yv3>:3:1>v3:788a`>;2?h02i6s|59594?4|5<=26o?4=45b><452z?63<27>;l477:p12d=838p1896:`78901f21<0q~:;d;296~;352z?0ec<6ml1689j51c:8yv27n3:1>v3;2b82a`=:4}r67g?6=;r7?8n4>ed9>07e=:h=0198m:0a6?xu4ij0;6>u23`g95`c<5=>h6=2wx8=j50;1x914e28on70:=c;354>;3>k0::=5rs56b>5<4s4>?m7?je:?76g<5i>168;o51b78yv5fj3:1?v34?23ty?07g=9lo01926c49~w6gf2908w0=nb;3fa>;3<00:n55243c95<3;n7>53z?76<<6ml168?o51728910>28<;7p};4983>6}:<=21=hk4=50:>7g034>=47?l5:p7d?=839p1>on:0gf?82303;i463;2882=0=z{=:j6=4<{<6144>619>03>=9?:0q~:;7;297~;3<>0:ih5243:96d1<5=<<6{t<931<7=t=504>4cb34>947?90:?722<6>91v9:9:180823>3;ni63;2681e2=:ed9>010=9k2019<8:0;6?xu3810;6>u243495`c<5=8<6<8?;<652?7182wx89;50;1x912228on70:=6;0b3>;3><0:o85rs2c5>5<4s49j;7?je:?700<6j1168?851878yv27?3:1?v3;2482a`=:<;<1=;>4=546>4073ty?894?:2y>012=9lo019<::3c4?821<3;h96s|3`794?5|5:k=684>949~w1612908w0:=4;3fa>;3:<0::=524769536??7>53z?706<6ml168?:52`58910428i>7p}6}:;h?1=hk4=560>4d?34>987?65:p053=839p19<<:0gf?825<3;=<63;628225=z{=>96=4<{<676?7bm27?>>4=a69>034=9j?0q~=n3;297~;4i=0:ih5245095g><5=886<7:;|q741<72:q68??51dg8914428<;70:92;354>{t<=;1<7=t=562>4cb34>9=7:=:18085f;3;ni63;4082f==:<;;1=4;4}r636?6=;r7?>=4>ed9>077=9?:0198?:043?xu3;o0;6>u242d95`c<5=8;6?o8;<66b?7d=2wx?9?50;1x962528on70:;3:90:585rs522>5<4s4>:j7?je:?765<6>91688h51728yv24m3:1?v3;3d82a`=:<8l1>l94=57f>4e23ty88=4?:2y>717=9lo019=j:0`;?826n3;296s|41294?5|5=;n6619~w15c2908w0:;39l09m:5244f95f353z?005<6ml168>j51c:8917b283>7p}6}:<8n1=hk4=53f>40734>>h7?90:p06e=839p19=l:0gf?826l38j;63;5b82g0=z{:9n6=4<{<10b?7bm27??n4>b99>04b=90?0q~=ie;297~;39j0:ih5240f9536<5=?h6<8?;|q77g<72:q68>l51dg8917d2;k<70::b;3`1>{t;:n1<7=t=21f>4cb34>8n7?m8:?75f<61<1v>hk:180826j3;ni63;1b8225=:<4}r60e?6=;r7??l4>ed9>04d=:h=019;n:0a6?xu4;j0;6>u232f95`c<5=9j6=2wx?km50;1x917f28on70:>b;354>;3=h0::=5rs51:>5<4s4>857?je:?75d<5i>1688751b78yv54j3:1?v3<3b82a`=:<:31=o64=53b>4?23ty8jo4?:2y>04?=9lo019?n:043?82213;=<6s|42:94?5|5=936c49~w65f2908w0=;3;10:n55240;95<353z?75=<6ml168<751728913?28<;7p};3683>6}:<:=1=hk4=53;>7g034>>;7?l5:p76?=839p1>=n:0gf?824?3;i463;1982=0=z{:l26=4<{<607?7bm27?=54>619>001=9?:0q~:<6;297~;3;?0:ih5242196d1<5==86751dg8915128h370:<3;3:1>{t<8?1<7=t=511>4cb34>8?7?90:?736<6>91v9;<:180822;3;ni63;3381e2=:<>81=n;4}r1a1?6=;r78n;4>ed9>005=9k2019==:0;6?xu39=0;6>u242395`c<5=996<8?;<646?7182wx88<50;1x913528on70:<1;0b3>;3?80:o85rs2`7>5<4s49i97?je:?717<6j1168>?51878yv26;3:1?v3;3182a`=:<:;1=;>4=552>4073ty?9<4?:2y>007=9lo019=?:3c4?82083;h96s|3c194?5|5:h?6949~w1752908w0:=f;3fa>;3;90::=524629536><7>53z?715<6ml168?h52`58910a28i>7p}6}:;k91=hk4=573>4d?34>9j7?65:p047=839p19m6=4<{<67b?7bm27?>h4=a69>03c=9j?0q~=m1;297~;4j;0:ih5245d95g><5=8n6<7:;|q755<72:q68?j51dg8914b28<;70:9e;354>{t<=o1<7=t=56f>4cb34>9h7ok:18085e93;ni63;4d82f==:<;n1=4;4}r63a?6=;r7?>?4>ed9>07b=9?:0198l:043?xu3u245`95`c<5=896?o8;<655?7d=2wx?l<50;1x96gc28on70:;b;3a<>;3:;0:585rs520>5<4s4>:;7?je:?767<6>9168;?51728yv2383:1?v3;4182a`=:<8=1>l94=575>4e23ty8?54?:2y>7d4=9lo019:?:0`;?826?3;296s|3g:94?5|5=;=6619~w1522908w0:<5;3fa>;39?09m:5244795f353z?770<6j1168<851878965028on7p}6}:;o=1=hk4=535>40734>>97?90:p062=839p1>h8:3c4?822<3;h963;3582a`=z{?396=4={<4:6?7bm27=mi4>c49~w3532909w08<4;3fa>;11;0:n45rs777>5<5s4<<=7?je:?5ea<6>91v;7>:18080>93;ni6397081e2=:>hi1=n;4}r406?6=;r7=??4>ed9>2<7=9k301;9>:0;6?xu1=;0;6>u266295`c<5?=:6<8?;<4bg?7182wx:5h50;1x93>a28on70880;0b3>;1ik0:o85rs712>5<4s4<8=7?je:?551878yv0293:1?v396g82a`=:>>:1=;>4=7ca>4073ty=4h4?:2y>2=c=9lo01;8i:3c4?80fi3;h96s|62294?5|5?9;6949~w3372908w089e;3fa>;1>o0::=526`c953653z?528i>7p}92g83>6}:>;l1=hk4=7:g>4d>34<=i7?65:p21`=839p1;8k:0gf?801m3;=<639a88225=z{?2h6=4<{<4;g?7bm27=:i4=a69>2d>=9j?0q~8=e;297~;1:l0:ih5269a95g?<5?{t>1h1<7=t=7:a>4cb34<=o7?i1=4;4}r47`?6=;r7=:o4>ed9>23e=9?:01;o8:043?xu10h0;6>u269c95`c<5?;1>k0:585rs76`>5<4s4<=m7?je:?52g<6>916:l851728yv0?13:1?v398882a`=:>?k1>l94=7c6>4e23ty=>o4?:2y>27d=9lo01;66:0`:?801i3;296s|65`94?5|5?<26619~w3>?2908w0878;3fa>;1>009m:526`695f353z?56d<6ml16:5651c;8930>283>7p}94`83>6}:>?21=hk4=74:>40734b89>23>=90?0q~8;9;297~;1>?0:ih5267:9536<5?k86<8?;|q5<3<72:q6:5851dg893012;k<708n2;3`1>{t>;=1<7=t=704>4cb34<3:7?m9:?523<61<1v;:8:180801=3;ni639678225=:>h81=;>4}r4;0?6=;r7=494>ed9>233=:h=01;o>:0a6?xu1:?0;6>u263495`c<5?2?6=2wx:9850;1x930328on70895;354>;1i80::=5rs7:0>5<4s4<3?7?je:?521<5i>16:l>51b78yv05=3:1?v392482a`=:>191=o74=747>4?23ty=884?:2y>235=9lo01;8;:043?80f83;=<6s|69094?5|5?296c49~w3432908w08=4;3fa>;10;0:n45267195<353z?527<6ml16:;=5172893?a28<;7p}98083>6}:>1;1=hk4=741>7g034<2i7?l5:p275=839p1;<<:0gf?80?93;i56396382=0=z{?>86=4<{<455?7bm27=:?4>619>2728h270891;3:1>{t>=81<7=t=743>4cb34<==7?90:?5=a<6>91v;9i:180800n3;ni6396181e2=:>0i1=n;4}r415?6=;r7=><4>ed9>22`=9k301;8?:0;6?xu1<80;6>u264d95`c<5?<;6<8?;<4:g?7182wx::k50;1x931b28on708:f;0b3>;11k0:o85rs703>5<4s4<9<7?je:?53`<6j016:8h51878yv0383:1?v395d82a`=:>4=7;a>4073ty=;i4?:2y>22b=9lo01;;j:3c4?80>i3;h96s|60d94?5|5?;m6949~w35a2908w08:d;3fa>;1=l0::=5268c953653z?53f<6ml16:8j52`5893?>28i>7p}91d83>6}:>8o1=hk4=75`>4d>34<>h7?65:p26c=839p1;97:0gf?802l3;=<639988225=z{?=i6=4<{<44f?7bm27=;54=a69>2g6=9j?0q~8{t>021<7=t=7;;>4cb34<<;7>=1=4;4}r46=?6=;r7=;;4>ed9>221=9?:01;oi:043?xu11>0;6>u268595`c<5?==6?o8;<4ba?7d=2wx:>750;1x935>28on70867;3a=>;1??0:585rs77;>5<4s4<<97?je:?533<6>916:lk51728yv0>>3:1>v399782a`=:>>?1>l94}r40ed9>2<0=9k301;9::0;6?xu1=>0;6?u266695`c<5?=>6<8?;|q5=0<72;q6:4;51dg893132;k<7p}93683>6}:>:=1=hk4=7;6>4d>34<<87?65:p200=838p1;9<:0gf?800<3;=<6s|68694?4|5?3?6850;1x935128on70864;3a=>;1?:0:585rs776>5<5s4<<>7?je:?536<6>91v;7<:18180>;3;ni6397381e2=z{?986=4<{<407?7bm27=5>4>b89>224=90?0q~8:3;296~;1>>0:ih52660953652z?5=5<6ml16:;952`58yv0503:1?v392982a`=:>0:1=o74=744>4?23ty=854?:3y>20e=9lo01;88:043?xu10<0;6?u269795`c<5??h6?o8;|q55a<72:q6:228h2708:c;3:1>{t>:n1<74cb34<>o7?90:p22g=838p1;9n:0gf?802j38j;6s|60a94?5|5?=j6=27==n4>ed9~w35d2909w08;1=k0::=5rs75:>5<5s4<8o79?4>b99~w0732909w0;<1;3fa>;2?;0::=5rs472>5<4s4?>=7?je:?674<5i>169:>51b78yv2a:3:1?v3;f382a`=:=<;1=o64=412>4?23ty>=?4?:2y>166=9lo018=>:043?83083;=<6s|55d94?5|5<>m6:k4>c49~w1`62908w0:i1;3fa>;253z?66c<6ml169>>51728900a28<;7p}:4d83>6}:==o1=hk4=40e>7g034?=i7?l5:p0c6=839p19h?:0gf?833m3;i463:2g82=0=z{<;;6=4<{<71a?7bm27>>k4>619>13c=9?:0q~;;d;297~;2{t=9l1<7=t=40g>4cb34?9i7?90:?62a<6>91v8:l:180833k3;ni63:2e81e2=:=?i1=n;4}r6fa?6=;r7?ih4>ed9>11e=9k2018u253a95`c<5<8o6<8?;<75g?7182wx99l50;1x902e28on70;=c;0b3>;2>k0:o85rs5gg>5<4s4>nh7?je:?60g<6j1169?m51878yv37l3:1?v3:2c82a`=:=;i1=;>4=44a>4073ty>8l4?:2y>11g=9lo018>o4>949~w06d2908w0;=a;3fa>;2:k0::=5257c953653z?60<<6ml169?o52`58900>28i>7p};ec83>6}:4d?34?9m7?65:p15d=839p18<6:0gf?835i3;=<63:688225=z{<>36=4<{<77>44=a69>13>=9j?0q~:ja;297~;3mh0:ih5255:95g><5<826<7:;|q64d<72:q69?651dg8904>28<;70;98;354>{t===1<7=t=464>4cb34?947>;4>ed9>17>=9?:01888:043?xu2u255495`c<5<8=6?o8;<751?7d=2wx8h950;1x91c028on70;;6;3a<>;2:?0:585rs424>5<4s4?997?je:?663<6>9169;;51728yv33<3:1?v3:4582a`=:=;?1>l94=447>4e23ty?i;4?:2y>0`0=9lo018:;:0`;?835=3;296s|51494?5|5<8?6:94>619~w0242908w0;;3;3fa>;2:=09m:5257195f3n97>53z?7a0<6ml1699=51c:89043283>7p}:0483>6}:=;91=hk4=407>40734?=?7?90:p114=839p18:=:0gf?835;38j;63:6382g0=z{=o?6=4<{<6f0?7bm27>8?4>b99>175=90?0q~;?4;297~;2:;0:ih525319536<5<<96<8?;|q604<72:q699?51dg890452;k<70;91;3`1>{t4cb34??=7?m8:?667<61<1v8><:18083593;ni63:238225=:=?;1=;>4}r774?6=;r7>8=4>ed9>177=:h=0188?:0a6?xu3m;0;6>u24d095`c<5<>;6=2wx9=<50;1x904728on70;=1;354>;2>90::=5rs41e>5<4s4?8j7?je:?665<5i>1698h51b78yv2b93:1?v3;e082a`=:=:l1=o64=403>4?23ty><<4?:2y>14`=9lo0189h4>c49~w1c72908w0:j0;3fa>;2;l0:n55250d95<353z?65`<6ml1696}:=:n1=hk4=43f>7g034?>h7?l5:p0a`=839p19ji:0gf?834l3;i463:1d82=0=z{=lm6=4<{<72`?7bm27>=h4>619>10b=9?:0q~;d;3:1>{t4cb34?:h7?90:?61f<6>91v8=m:180834j3;ni63:3981e2=:=>21=n;4}r6ef?6=;r7?jo4>ed9>16d=9k2018=7:0;6?xu29h0;6>u252595`c<5<936<8?;<74;2?>0:o85rs5db>5<4s4>mm7?je:?61=<6j1169>951878yv3613:1?v3:3782a`=:=:=1=;>4=454>4073ty>9:4?:2y>101=9lo018=9:3c4?830>3;h96s|4g;94?5|5=l26?;4>949~w07?2908w0;<5;3fa>;2;?0::=525649536:7>53z?613<6ml169>;52`58901228i>7p};f983>6}:4d?34?897?65:p141=839p18=;:0gf?834=3;=<63:748225=z{6=4<{<761?7bm27>?94=a69>122=9j?0q~:i7;297~;3n>0:ih5254795g><5<9?6<7:;|q653<72:q69>=51dg8905328<;70;84;354>{t=<>1<7=t=477>4cb34?8?73;ni63:5582f==:=:91=4;4}r721?6=;r7>??4>ed9>165=9?:0189<:043?xu2=:0;6>u254195`c<5<996?o8;<745?7d=2wx8k=50;1x91`428on70;:3;3a<>;2;;0:585rs430>5<4s4?9;7?je:?677<6>9169:?51728yv3283:1?v3:5182a`=:=;=1>l94=445>4e23ty?i54?:2y>0`>=9lo018;?:0`;?835?3;296s|51:94?5|5<;h6:;4>619~w0222908w0;;5;3fa>;29j09m:5254`95f3oh7>53z?7`a<6ml1699;51c:8907d283>7p};fe83>6}:=8h1=hk4=43`>40734?>n7?90:p16g=839p18=n:0gf?836j38j;63:5`82g0=z{=nh6=4<{<6gg?7bm27>?l4>b99>14d=90?0q~:ic;297~;3nj0:ih5250`9536<5751dg891`d2;k<70;:9;3`1>{t;l31<7?:{<1:f?7bm279><;51c589747m3;296387782f==:?>?1=o84=657>4d?34=;0?10:n55277d95g><5>=;616>>ml:0`4?844km0:n:5222af>4d03488ok4>b69>66b728h<7098e;3af>{t;l=1<7:t=2;b>4cb3489=84>b79>66b728h37098e;3a<>{t;l>1<7=t=2;;>4cb3489=94>b`9>66ea28h37p}6}:;0=1=hk4=3020?7e1279?nk51c:8yv5b83:1?v3<9782a`=::;;?6jj:18085>=3;ni63=20695g1<5;9ho7?m8:p7ae=839p1>7;:0gf?8459=0:n;5222aa>4d?3ty8hl4?:4y>7<5=9lo01?<>8;3a2>;5:891=oo4=65:>4d034==83?p1>7=:0gf?845910:n:522330>4d>34=<;7?m7:?43a<6j>1v>j9:18685>93;ni63=20:95g><5;8:?7?m8:?433<6j>16;:j51c48yv5c<3:19v3<9182a`=::;;3616;:;51c58921c28hi7p}0}:;1l1=hk4=302<=51c48921328h<7098d;3a<>{t;jo1<7;t=2:g>4cb3489=:4>b79>677528hj70983;3a3>;0?m0:n45rs2a`>5<2s493o7?je:?1641=9k=01?<>2;3a=>;0?;0:n:5276f95gg54z?0??8:0`;?8459;0:n55276295g154z?0??8:0`:?8459;0:n:5277d95g154z?0<<<6ml16>??8:0`b?8459;0:n;5276:95g154z?0<=<6ml16>??9:0`5?845980:n;5276395g17>52z?0<2<6ml16>??>:0`b?xu4k90;6?u239495`c<5;8:=7?m9:p7gc=838p1>6::0gf?845980:n55rs2`a>5<5s49387?je:?1647=9k=0q~=i6;296~;4i80:ih522333>4df3ty8j94?:3y>7d6=9lo01?<>0;3a=>{t;o81<74cb3489==4>b99~w6`72909w0=6e;3fa>;5:8:1=o94}r1fa?6=:r785i4>ed9>677728h=7p}7}:;0i1=hk4=303b?7ei2wx?ho50;0x96?>28on70<=0g82f<=z{:n86=4={<1;a?7bm279>=h51c:8yv5el3:1>v3<8282a`=::;:m62wx?h850;1x910e28on70=8a;3a2>;4=k0:585rs2g0>5<4s4>=m7?je:?03=<6j?16?8751878yv5b93:1?v3;6882a`=:;>=1=o84=27;>4?23ty8hk4?:2y>03>=9lo01>99:0`5?852?3;296s|3ef94?5|5=<<62789;4>949~w6be2908w0:96;3fa>;4?=0:n;5234795<353z?720<6ml16?:=51c489633283>7p}6}:1=hk4=251>4d1349>?7?65:p7a3=839p198<:0gf?85093;i:63<5382=0=z{:n96=4<{<656?7bm278;=4>b79>707=90?0q~=k0;297~;3>90:ih5237d95g0<5:?;6<7:;|q0ga<72:q688h51dg8960c28h=70=;e;3:1>{t;jh1<7=t=57f>4cb349=o7?m6:?00a<61<1v>m6:180822l3;ni63<6c82f3=:;=i1=4;4}r1`3?6=;r7?9n4>ed9>73g=9k<01>:m:0;6?xu4k<0;6>u244`95`c<5:<26=2wx?n=50;1x913f28on70=98;3a2>;4<00:585rs2a2>5<4s4>>57?je:?022<6j?16?9651878yv5en3:1?v3;5982a`=:;?<1=o84=264>4?23ty8nn4?:2y>001=9lo01>8::0`5?853>3;296s|3cc94?5|5==86278884>949~w6`22908w0:82;3fa>;4080:n;5237395<353z?734<6ml16?5>51c489607283>7p}6}:<>:1=hk4=25e>4d1349>j7?65:p7``=839p198i:0gf?850m3;i:63<5d82=0=z{:oo6=4<{<65a?7bm278;i4>b79>70b=90?0q~=jb;297~;3>j0:ih5236a95g0<5:?h6<7:;|q0a0<72:q68;?51dg8961>28h=70=:a;3:1>{t;jl1<7=t=575>4cb349=i7?m6:?00c<61<1v>l6:180822=3;ni63<6282f3=:;=>1=4;4}r1a3?6=;r7?994>ed9>734=9k<01>:<:0;6?xu0?h0;6nu276495g0<5>=>627<;>4>b99>324=9k201:96:0`;?810?3;i:6387082f3=:?>21=o84=64e>4d134=<<7?m6:?43`<6ml1v:8m:18181113;<;6386`81=d=z{==>6=4>az?156?=:0h01??;a;0:f>;59=31>4l4=337j279=99528`89773>382n63=15696;59=:1>4l4=330b?4>j279=>k528`89774l382n63=12a96;59=l1>4l4=337a?4>j279=9j528`89773k382n63=15`967?e34=i47<6b:?4f2<51k16;o8528`892d22;3i709m4;0:f>;0j:095o527c096h:6?7m;<5a4?4>j273dc=:0h01:ok:3;a?81fk382n638ac81=g=:?hk1>4l4=6c:>7?e34=j47<6b:?4e2<51k16;l8528`892g22;3i709n4;0:f>;0i:095o527`096k:6?7m;<5b4?4>j27<5k4=9c9>31:?`4c<6j?16o=o51c489<4e28h<70l=a;3a2>;e:00:n:52b2395g1<5k996b69>f63=9k=01o=9:0`4?8d4?3;i;63m1882f2=:j8k1=o94=c3a>4d034h:o7?m7:?a5a<6j>16n;e:80:n:52b3095g1<5k88684>b69>f70=9k=01o<8:0`4?8d503;i;63m2c82f2=:j;i1=o94=c0g>4d034h9i7?m7:?a6c<6j>16n>>51c58yvdf13:1>v3n2b8145=:k9>1=o94}r`bn4>fg9>g52=9k<0q~ln7;296~;f:j0:jh52c1695g?52z?b6f<6nm16o=:51c:8yvdb;3:1>v3n2b816g=:1;h1=oo4}r`f6?6=:r7j>n4=2`9>=7d=9k30q~lj1;296~;f:j09>452c1795g152z?b6f<5:116o=;51c48yvdc93:1>v3n2b8162=:k9?1=o74}r``2?6=:r7j>n4=1e9>g53=9k20q~lmb;296~;f:j09=?52c1795gd52z?b6f<58>16o=;51cc8yvdf=3:1>v3n2b82bf=:k9>1=ol4}r`b0?6=:r7j>n4>fc9>g52=9kk0q~llc;290~;fim0:jh52c1g95gd<5j:<62wxnnl50;0x9dgc28lo707>e;3a2>{tjjk1<774e343:m7?m6:pff?=838p1lok:30b?8?603;i:6s|bb:94?4|5hko6?<6;<;22?7e>2wxnn950;0x9dgc2;83707>4;3a2>{tjj?1<7740343:>7?m6:pff2=838p1lok:33g?8?683;i:6s|bb194?4|5hko6??=;<;3a?7e>2wxnn<50;0x9dgc2;:<707?c;3a2>{tjj;1<74`d343;m7?m6:pff6=838p1lok:0da?8?703;i:6s|bcd94?4|5h?n6?><;<;30?7e>2wxnok50;0x9d3b2;:9707?2;3a2>{tjkn1<7766343;<7?m6:pfge=838p1l;j:323?8>am3;i:6s|bcc94?4|5h?n62wxno750;0x9d3b28ln706ia;3a2>{tjk21<74`c342m47?m6:pfg1=838p1l;j:30a?8>a>3;i:6s|bc494?4|5h?n6?2wxno;50;0x9d3b2;82706i2;3a2>{tjk>1<774?3439m7?m6:pfg5=838p1l;j:304?8?503;i:6s|bc094?4|5h?n6??k;<;12?7e>2wxno?50;0x9d3b2;;9707=4;3a2>{tjhl1<77603439>7?m6:pfdc=838p1l;j:0d`?8?583;i:6s|b`f94?4|5h?n62wxnlm50;0x9d4d2;:8707?6;3a2>{tjhh1<7765342m<7?m6:pfdg=839p1lbm3;296362c82f3=z{knm6=4<{b69>g5?=9k=0q~lke;297~;fim09{tjmh1<7=t=`cg>76c34i;o7?m9:?`4<<6j11vojn:1808gfl38;o63l0b82fd=:k931=ol4}r`g=?6=;r7jmi4=0c9>g5e=9kh01n>6:0`b?xuel10;6>u2a`f965g<5j:o6;d810:n;5rscf5>5<4s4kjh74d?3tyih94?:2y>edb=:9?01n>k:0`b?8e703;in6s|be194?5|5hko6?>;;b`9~wgb52908w0ond;037>;d8l0:n:52c1595g153z?bea<58;16o=k51c489f6028h=7p}mcg83>6}:ihn1>=?4=b2f>4d?34i;;7?m9:pffc=839p1lok:323?8e7m3;i563l0682f==z{kio6=4<{b`9>g51=9kh0q~l84;293~;>lm09=<52b`195g0<5k3n6b79><31=9k=0158::0`4?xue;m0;6?u294a9656<5k3367}:14d>3tyi?l4?:3y>=0e=9on01o77:0`;?xue?>0;6?u294a967d<51ih67}:1?74=c;:>4d03tyi;=4?:3y>=0e=:;201o76:0`5?xue><0;6?u294a9671<5k32628h37p}m4g83>7}:1<<4=c;:>4de3tyi894?:3y>=0e=:9=01o76:0`b?xue;00;6?u294a95ce<5k3361}:1mn1=kk4=cc1>4de34h2n7?ma:?;a2<6j?1vo;i:1818?cl3;mh637bg82f3=z{k?n6=4={<;g`?45j273no4>b79~wg3c2909w07kd;01e>;?j00:n;5rsc7`>5<5s43oh7<=9:?;f2<6j?1vo;m:1818?cl3894637b482f3=z{k?26=4={<;g`?45?273n>4>b79~wg3?2909w07kd;02`>;?j80:n;5rsc74>5<5s43oh7<>2:?;ec<6j?1vo;9:1818?cl38;;637ae82f3=z{k?>6=4={<;g`?7ak273mo4>b79~wg332909w07kd;3ef>;?i00:n;5rsc70>5<5s433i7637a282f3=z{k?:6=4={<;;a?479273m<4>b79~wg372909w077e;034>;?1o0:n;5rsc6f>5<5s433i7?if:?;=a<6j?1vo:k:1818??m3;mi6379c82f3=z{k>h6=4={<;;a?7al273544>b79~wg2e2909w077e;01f>;?1>0:n;5rsc6b>5<5s433i7<=a:?;=0<6j?1vo:6:1818??m38956379282f3=z{k>36=4={<;;a?450273oo4>b79~wg202909w077e;013>;?k00:n;5rsc65>5<5s433i7<>d:?;g2<6j?1vo:::1818??m38:>637c482f3=z{k>86=4={<;;a?47?273o>4>b79~wg252909w077e;3eg>;?k80:n;5rsc62>5<5s433i7?ib:?;fa<6j?1vo:?:1818?2k38;?637a682f3=z{k9m6=4={<;6g?47:2735<4>b79~wg5b2908w07:c;035>;?0o0:58528ba95g053z?:`a<59916nl>51c589g?c28h<7p}m7383>6}:1mn1>=h4=cc3>4d134h2h7?m6:pf27=839p14jk:32f?8df83;i463m9e82f<=z{kb89>flm09{tj?i1<7=t=8fg>76f34hj=7?m7:?a=f<6j>1vo8m:1808?cl38;563ma082f3=:j0i1=o84}r`5e?6=;r72hi4=099>fd7=9k201o7l:0`:?xue>00;6>u29ef9650<5kk:670ln1;3ae>;e1j0:no5rsc44>5<4s43oh73:1?v36de8146=:jh81=o94=c;a>4d03tyi:94?:2y>=ab=:9801oo=:0`5?8d>j3;i:6s|b7194?5|50no6?>>;<`b6?7e027i5o4>b89~wg052908w07kd;034>;ei;0:n452b8`95g>53z?:`a<6no16nl<51cc89g?e28hi7p}=64f94?16jr79nk4>b29>61c32;3i70<;e58232=Y:?;n70;<9;3a=>;3nj0:585262a95<3<5:l<6<7:;<74=?7el27>;44>bd9>12?=9j:01896:0a2?83013;>963:7881e6=:=>31=9;4=45:>42034?<57?;8:?63<<6<0169:75c49>12?=k?169:75c69>12?=k1169:7528f8901>28?870;89;0b4>;2?00:9:5256;950?<5<=26?o>;<74=?72m27>;44=a49>12?=9m63:7881=`=:=>31=8l4=45:>7g534?<57?:6:?63<<51o169:7514f8901>2;k?70;89;3e5>;2?00:j>5256;95c2<5<=2627>;l4>be9>12g=9ko0189n:0a3?830i3;h=63:7`8210=:=>k1>l=4=45b>42234?;2?h09m=5256c9501<5<=j6<;6;<74e?4f927>;l4>5d9>12g=:h?0189n:07e?830i38j:63:7`821d=:=>k1>4k4=45b>43e34?;2?h0:j<5256c95c5<5<=j6;<74=?0534?<578<;<74=?0334?<578:;<74=?0134?<5787;<74=?0>34?<578n;<74=?0e34?<578l;<74=?72<27>;44>599>12?=9463:7`821f=::;8j6?4323489>l4=a29>674f28>>70<=2`8202=::;8j6<:7;<016d<6<016>?;5:;k1o552230b>7?c3489>l4>529>674f2;k;70<=2`8212=::;8j6<;6;<016d<5i816>?43a3489>l4=a79>674f28?j70<=2`81=`=::;8j6<;m;<016d<5i;16>?43c3489>l4=a59>674f28l:70<=2`82b6=::;8j6?4db3489>o4>c19>674e28i:70<=2c8210=::;8i6?o<;<016g<6<<16>?42>3489>o4l5:?167d=k?16>??7g63489>o4>5d9>674e2;k>70<=2c821c=::;8i6?o9;<016g<6=h16>?7g53489>o4>579>674e2;3m70<=2c821a=::;8i6?o;;<016g<6n816>?a1<5;89m7?:4:?167g=9<201?<=a;36g>;5:;h1=8:4=301f?720279>?l514a89753i3;ih63=35c95gc<5;9?m7?l0:?171g=9j;01?=;a;361>;5;=k1>l=4=317e?73=279?9o515589753i3;?463=35c951?<5;9?m7m:;<000d279?9o5c69>662f2j201?=;a;0:`>;5;=k1=8=4=317e?4f8279?9o514589753i3;>563=35c96d7<5;9?m7?:e:?171g=:h?01?=;a;36b>;5;=k1>l84=317e?72i279?9o528g89753i3;>n63=35c96d4<5;9?m7?:6:?171g=:0l01?=;a;36`>;5;=k1>l:4=317e?7a9279?9o51g189753i3;m863=35c95c3<5;9?m7?i6:?171d=9kn01?=;b;3aa>;5;=h1=n>4=317f?7d9279?9l514789753j38j?63=35`9513<5;9?n7?;7:?171d=9=201?=;b;37=>;5;=h1o852226a>f0<5;9?n7m8;<000g?63=35`96d6<5;9?n7?:7:?171d=9<301?=;b;0b5>;5;=h1=8k4=317f?4f=279?9l514d89753j38j:63=35`950g<5;9?n7<6e:?171d=9;5;=h1=884=317f?4>n279?9l514f89753j38j863=35`95c7<5;9?n7?i3:?171d=9o?01?=;a;f4?84443?34888l4>5b9>662e28??70<<4c821==:::>i6<;l;<7`=?7el27>o44>bd9>1f?=9j:018m6:0a2?83d13;>963:c881e6=:=j31=9;4=4a:>42034?h57?;8:?6g<<6<0169n75c49>1f?=k?169n75c69>1f?=k1169n7528f890e>28?870;l9;0b4>;2k00:9:525b;950?<5;<7`=?72m27>o44=a49>1f?=9m63:c881=`=:=j31=8l4=4a:>7g534?h57?:6:?6g<<51o169n7514f890e>2;k?70;l9;3e5>;2k00:j>525b;95c2<527>ol4>be9>1fg=9ko018mn:0a3?83di3;h=63:c`8210=:=jk1>l=4=4ab>42234?hm7?;7:?6gd<6<1169no515;890ef2j?018mn:b4890ef2j=018mn:b:890ef2;3o70;la;367>;2kh09m=525bc9501<5ol4>5d9>1fg=:h?018mn:07e?83di38j:63:c`821d=:=jk1>4k4=4ab>43e34?hm7;2kh0:j<525bc95c5<534?h57jn;<7`=?be34?h57jl;<7`=?bc34?h57jj;<7`=?ba34?h57k?;<7`=?b734?h57j>;<7`=?b534?h57j<;<7`=?b034?h57;m;<7`=?3d34?h5788;<7`=?1434?h579:;<7`=?1134?h5798;<7`=?1?34?h5796;<7`=?3c34?h57;j;<7`=?3a34?h578?;<7`=?0634?h578=;<7`=?0434?h578;;<7`=?0234?h5789;<7`=?0?34?h5786;<7`=?0f34?h578m;<7`=?0d34?h57?:4:?6g<<6=1169n7514a890ef28??70;la;36<>;2kh0:9n52235b>4dc3489;l4>bd9>671f28i;70<=7`82g4=::;=j6<;:;<013d<5i:16>?9n:066?845?h0:8:52235b>42?3489;l4>489>671f2j?01?<8a;a5?845?h0h;63=26c9g==::;=j6?7k;<013d<6=:16>?9n:3c3?845?h0:9:52235b>43>3489;l4=a09>671f28?n70<=7`81e0=::;=j6<;i;<013d<5i?16>?9n:07b?845?h095h52235b>43e3489;l4=a39>671f28?=70<=7`81=c=::;=j6<;k;<013d<5i=16>?9n:0d2?845?h0:j>52235b>4`33489;l4>f49>671f28l=70<=7c82fa=::;=i6?9m:0a2?845?k0:9852235a>7g43489;o4>449>671e28><70<=7c820==::;=i6<:6;<013g:l5c79>671e2j=01?<8b;a;?845?k095i52235a>4343489;o4=a19>671e28?<70<=7c821<=::;=i6?o>;<013g<6=l16>?9m:3c6?845?k0:9k52235a>7g13489;o4>5`9>671e2;3n70<=7c821g=::;=i6?o=;<013g<6=?16>?9m:3;e?845?k0:9i52235a>7g33489;o4>f09>671e28l870<=7c82b0=::;kh6?ol:0a3?845ij0:o<5223c`>4323489mn4=a29>67gd28>>70<=ab8202=::;kh6<:7;<01ef<6<016>?ol:b78974fk3i=70<=ab8`3>;5:hi1o55223c`>7?c3489mn4>529>67gd2;k;70<=ab8212=::;kh6<;6;<01ef<5i816>?ol:07f?845ij09m85223c`>43a3489mn4=a79>67gd28?j70<=ab81=`=::;kh6<;m;<01ef<5i;16>?ol:075?845ij095k5223c`>43c3489mn4=a59>67gd28l:70<=ab82b6=::;kh6?hm:0`g?845nk0:nh5223da>4e73489jo4>c09>67`e28?>70<=fc81e6=::;li6<::;<01bg<6<>16>?hm:06;?845nk0:845223da>f3<5;8mn7m9;<01bgkl5c99>67`e2;3o70<=fc8216=::;li6?o?;<01bg<6=>16>?hm:07:?845nk09m<5223da>43b3489jo4=a49>67`e28?m70<=fc81e3=::;li6<;n;<01bg<51l16>?hm:07a?845nk09m?5223da>4313489jo4=9g9>67`e28?o70<=fc81e1=::;li6;<01bg<6n:16>?hm:0d6?845?h0o;63=2`a9`2=::;=j6;:4=304e?023489;l496:?162g=>116>?9n:7;89740i3;5:>k1:n52235b>4333489;l4>599>671f28?h70<=7c8211=::;=i6<;7;<013g<6=j16>?ol:077?845ij0:955223c`>43d3489jo4>559>67`e28?370<=fc821f=:14db343>o7?l0:?:1f<6k81658m514789<3d2;k8707:c;371>;>=j0:8:5294a951><50?h6<:6;<;6g?e2343>o7m9;<;6g?e0343>o7m7;<;6g?4>l2729n4>529>=0e=:h:014;l:074?8?2k3;>56365b81e4=:17g2343>o7?:f:?:1f<5i?1658m514c89<3d2;3n707:c;36f>;>=j09m?5294a9500<50?h6?7i;<;6g?72l2729n4=a59>=0e=9o;014;l:0d0?8?2k3;m86365b82b0=:14dc343>h7?me:?:1a<6k91658j51b389<3c28?>707:d;0b7>;>=m0:885294f9511<50?o6<:7;<;6`?7312729i4l5:?:1a2729i4l7:?:1a=0b=9<9014;k:3c3?8?2l3;>;6365e821<=:1l?4=87g>43b343>h7;>=m0:9o5294f96d4<50?o6<;9;<;6`?4>n2729i4>5e9>=0b=:h>014;k:0d2?8?2l3;m?6365e82b0=:11o1=oj4=8:f>4db3433i7?l0:?:<`<6k81655k514789<>b2;k87077e;371>;>0l0:8:5299g951><502n6<:6;<;;a?e23433i7m9;<;;a?e03433i7m7;<;;a?4>l2724h4>529>==c=:h:0146j:074?8??m3;>56368d81e4=:11o1=8k4=8:f>7g23433i7?:f:?:<`<5i?1655k514c89<>b2;3n7077e;36f>;>0l09m?5299g9500<502n6?7i;<;;a?72l2724h4=a59>==c=9o;0146j:0d0?8??m3;m96368d82b3=:1mn1=oj4=8fg>4db343oh7?l0:?:`a<6k8165ij514789;>lm0:8:529ef951><50no6<:6;<;g`?e2343oh7m9;<;g`?e0343oh7m7;<;g`?4>l272hi4>529>=ab=:h:014jk:074?8?cl3;>5636de81e4=:1mn1=8k4=8fg>7g2343oh7?:f:?:`a<5i?165ij514c89;>lm09m?529ef9500<50no6?7i;<;g`?72l272hi4=a59>=ab=9o;014jk:0d0?8?cl3;m96365b8g3>;>0l0o;6365b850>;>=j0=96365b852>;>=j0=46365b85=>;>=j0=m6365b85f>;>=j0=o6365b8211=:143d343>h7?:4:?:1a<6=11658j514a89<>b28??7077e;36<>;>0l0:9n529ef9502<50no6<;7;<;g`?72k27j>n4>be9>e7e=9ko01ll=4=`0`>42234k9o7?;7:?b6f<6<116m?m515;89d4d2j?01l;f:j09m=52a3a9501<5h8h6<;6;n4>5d9>e7e=:h?01l4k4=`0`>43e34k9o7;f:j0:j<52a3a95c5<5h8h6n4>f79>e7b=9kn01l7g434k9h7?;5:?b6a<6<>16m?j515:89d4c28>270o=d;a6?8g5l3i=70o=d;a4?8g5l3i370o=d;0:`>;f:m0:9>52a3f96d6<5h8o6<;8;i4=a09>e7b=9j63n2e81e3=:i;n1=8o4=`0g>7?b34k9h7?:b:?b6a<5i;16m?j514489d4c2;3m70o=d;36`>;f:m09m952a3f95c7<5h8o6be9>e0c=9ko01l;j:0a3?8g2m3;h=63n5d8210=:il=4=`7f>42234k>i7?;7:?b1`<6<116m8k515;89d3b2j?01l;j:b489d3b2j=01l;j:b:89d3b2;3o70o:e;367>;f=l09m=52a4g9501<5h?n6<;6;5d9>e0c=:h?01l;j:07e?8g2m38j:63n5d821d=:i4k4=`7f>43e34k>i7;f=l0:j<52a4g95c5<5h?n627jmi4>be9>edb=9ko01lok:0a3?8gfl3;h=63nae8210=:ihn1>l=4=`cg>42234kjh7?;7:?bea<6<116mlj515;89dgc2j?01lok:b489dgc2j=01lok:b:89dgc2;3o70ond;367>;fim09m=52a`f9501<5hko6<;6;5d9>edb=:h?01lok:07e?8gfl38j:63nae821d=:ihn1>4k4=`cg>43e34kjh7;fim0:j<52a`f95c5<5hko6i7j8;34k9o78n;n4>599>e7e=9463n2e821f=:i43?34k>i7?:c:?bea<6==16mlj514:89dgc28?h706je;354>;>:j0::=528dd95<3<50:>6<7:;<;2f?7>=272=k4>949>=77=90?014<<:0;6?8?5=3;296362682=0=:1;31=4;4=9d2>4?2342m?7?65:?;b0<61<164k9518789=`>283>706ib;3:1>;?nm0:58528gd95<3<50::6<7:;<;37?7>=272<:4>949>=5?=90?014>m:0;6?8?7l3;296360g82=0=:18;1=4;4=830>4?2343:97?65:?:52<61<165<7518789<7c283>7067f;354>;?km0::=5288295<3<51k=6<7:;<:ag?7>=273o=4>949>d>3;29637c982=0=:0jk1=4;4=9;1>4?2342287?65:?;=3<61<16446518789=?f283>7066c;3:1>;?1l0:58528`295<3<51k96<7:;<:b0?7>=273m54>949>fm3;29637b182=0=:0k81=4;4=9`7>4?2342i:7?65:?;f=<61<164oo518789=db283>70=;3;354>;40;0::=52232;>4?23489<44>949>676c283>70<=1882=0=::;;j6<7:;<015`<61<164>>517289=0a28<;707;d;3:1>;>=27?8i4>b69>7g6=9k=01;7=:0`4?804=3;i;6386`84e>;0>h0;0>h0X5>;>0R?8=5:\1270X5>;n0R?8=e:\10`0<50?h6nk4=8:f>fc<5h8h6nk4=`7f>fch6P=64g8Z70112T9:::4^344<>X5>>30R?88a:\122d4^3455>X5>?80R?:j7:?:1fa?<5h8h6i74=`7f>a?mh6365b8ge>;>0l0om63n2b8ge>;f=l0om6P=51389<3d2mh0146j:e`89d4d2mh01l;j:e`8Z737:2729n4kc:?:<`n4kc:?b1`ab<502n6ij4=`0`>ab<5h?n6ij4^3730>;>=j0oi6368d8ga>;f:j0oi63n5d8ga>X5=9?014;l:ed89<>b2ml01l<50?h6i>4=8:f>a6<5h8h6i>4=`7f>a6n56365b8g5>;>0l0o=63n2b8g5>;f=l0o=6P=4dc89<3d2m80146j:e089d4d2m801l;j:e08Z72bj2729n4k3:?:<`n4k3:?b1`k3;2963>b8f95<3<58hj47?65:?2fg5=90?01;6jk<1=4;4=0`a3?7>=27:no65187894de13;2963>bcc95<3<58h2i7?65:?2f<`=90?01;6jh;1=4;4=0`b6?7>=27:nl=5187894df<3;2963>b`795<3<58hj:7?65:?2fd1=90?01;6jhk1=4;4=0`bf?7>=27:nlm5187894dfl3;2963>b`g95<3<58hjj7?65:?2fg6=90?01;6jk81=4;4=0`a0?7>=27:8nj51878942dm3;2963>4bd95<3<58>o<7?65:?20a7=90?01<:k2;3:1>;6=27:8ik51878942cn3;2963>4d295<3<58>n=7?65:?20`b=90?01<:je;3:1>;6=27:8k?51878942a:3;2963>51295<3<58?;=7?65:?2154=90?01<;?3;3:1>;6=9>1=4;4=0731?7>=27:9<:518789436=3;2963>50495<3<58?:;7?65:?214>=90?01<;=8;3:1>;6=;31=4;4=071e?7>=27:9?l518789435k3;2963>52f95<3<58?8i7?65:?216`=90?01<;;0;3:1>;6==;1=4;4=0760?7>=27:98;518789432>3;2963>54595<3<58?>47?65:?213e=90?01<;9d;3:1>;6=?o1=4;4=075b?7>=27:95:51878943?=3;2963>59495<3<58?3;7?65:?21=>=90?01<;6f;3:1>;6=h:1=4;4=07b5?7>=27:9l<51878943ei3;2963>5c`95<3<58?io7?65:?21gb=90?01<;k8;3:1>;6=m31=4;4=07ge?7>=27:9i?51878943a>3;2963>5g595<3<58?m47?65:?21``=90?01<8>5;3:1>;6>8<1=4;4=043`?7>=27::>:518789404=3;2963>63a95<3<58<>97?65:?221d=90?01<8;c;3:1>;6>>=1=4;4=045`?7>=27::;k51878940?n3;2963>68295<3<587?65:?22g5=90?01<8m4;3:1>;6>m21=4;4=04g=?7>=27::kk51878940an3;2963>73795<3<58=9:7?65:?231c=90?01<9;f;3:1>;6?>21=4;4=05b6?7>=27:;nj51878941ai3;2963>84695<3<5l>=6<8?;949>a05=90?01h;j:0;6?8c1:3;2963j6282=0=:m?>1=4;4=d46>4?234o=:7?65:?f22<61<16i97518789`2f283>70k;b;3:1>;bn6<7:;=27n9=4>949>a07=90?01h;=:0;6?8c2<3;2963j5482=0=:m<<1=4;4=d74>4?234o>47?65:?f1<<61<16i8o518789`3e283>70k:c;3:1>;b=m0:5852e4d95<3<5l<;6<7:;=27:4kl51c5894>bk3;i;63>8ba95g1<582ih7?m7:?2=7e=9k=01<7>b;3a3>;6==i1=o94=0777?7e?27:9>851c589435m3;i;63>53095g1<58?:m7?m7:?215`=9k=01<;?7;3a3>;688f95g1<5823i7?m7:?2<3c=9k=01<6:e;3a3>;60:l1=o94=0:05?7e?27:;h851c58941c?3;i;63>7cc95g1<58=jo7?m7:?23<6=9k=01<972;3a3>;6??=1=o94=056=?7e?27::l851c58940>i3;i;63>67095g1<58<>:7?m7:?20a2=9k301<;ne;3a3>;6=h>1=o94=074e?7e?27:9:?51c589431;3;i;63>54c95g1<5l226<7:;=27nn=4>949>af>=90?01hhi:0;6?8`b;3;2963>05;95<3<58;<47?65:?25a1=90?01<<=2;3:1>;6:>:1=4;4=0126?7>=27:?;751878945d83;2963>46495<3<58>;57?65:?5=4<6j>16:>:51c589=2?283>7087f;3a3>;1;;0:n:5285495<3<5=>=6b69>7dg=9k=019:7:0`4?85fj3;i;63;4882f2=:;hi1=o94=56b>4d0349ji7?m7:?70f<6j>16?lh51c589dgc28<:70o:e;355>;f:m0::<52a3a9537<50no6<8>;<;;a?7192729i4>609>=0e=9?;01?;5:hi1=;?4=304f?719279>:o5173890ef28<:70;l9;355>;5;=h1=;?4=317e?719279>?l517389745i3;==63:7`8224=:=>31=;?4=`cg>4>>34kjh7?78:?bea<60>16mlj519489dgc282>70ond;3;7>;fim0:4?52a`f95=7<5hko6<6?;7d9>edb=9>n01lok:05`?8gfl3;4?734kjh7?7f:?bea<60l16mlj519f89dgc282h70ond;3;f>;fim0:4952a`f952?<5hko6<97;899>e0c=91=01l;j:0:5?8g2m3;3963n5d82<6=:i4>634k>i7?70:?b1`<6?o16m8k516g89d3b28=o70o:e;34g>;f=l0:;o52a4g952g<5h?n6<7>;827j9h4>8g9>e0c=91o01l;j:0:g?8g2m3;3o63n5d8241>34k>i7?88:?b6a<60016m?j519:89d4c282<70o=d;3;2>;f:m0:4852a3f95=5<5h8o6<6=;i4>819>e7b=9>l01l41f34k9h7?61:?b6a<61916m?j519d89d4c282n70o=d;3;`>;f:m0:4n52a3f95=d<5h8o6<6;;i4>799>e7e=91301l4>434k9o7?72:?b6f<60816m?m519289d4d28=m70o=c;34a>;f:j0:;i52a3a952e<5h8h6<9m;n4>909>e7e=90:01l4>e34k9o7?74:?b6f<6?016m?m516:89;>lm0:4:529ef95=0<50no6<6:;<;g`?7?;272hi4>839>=ab=91;014jk:0:3?8?cl3;41d343oh7?8b:?:`a<6?h165ij518389;>lm0:4h529ef95=b<50no6<6l;<;g`?7?j272hi4>859>=ab=9>3014jk:05;?8??m3;356368d82<==:11o1=594=8:f>4>13433i7?75:?:<`<60:1655k519089<>b282:7077e;3;4>;>0l0:;k5299g952c<502n6<9k;<;;a?70k2724h4>7c9>==c=9>k0146j:0;2?8??m3;2<6368d824>c3433i7?7c:?:<`<60k1655k519689<>b28=27077e;34<>;>=m0:445294f95=><50?o6<68;<;6`?7?>2729i4>849>=0b=919014;k:0:1?8?2l3;3=6365e82<5=:141b343>h7?8d:?:1a<6?j1658j516`89<3c28=j707:d;3:5>;>=m0:5=5294f95=`<50?o6<6j;<;6`?7?l2729i4>8b9>=0b=91h014;k:0:7?8?2l3;<56365e823==:14>?343>o7?77:?:1f<60?1658m519789<3d2828707:c;3;6>;>=j0:4<5294a95=6<50?h6<9i;<;6g?70m2729n4>7e9>=0e=9>i014;l:05a?8?2k3;4=87`>4>a343>o7?7e:?:1f<60m1658m519a89<3d282i707:c;3;0>;>=j0:;45294a952><5;8mn7?79:?16cd=91201?;5:oh1=584=30ef?7?=279>kl51918974aj3;3>63=2g`95=7<5;8mn7?70:?16cd=9>l01?;5:oh1=:j4=30ef?70k279>kl516`8974aj3;;5:oh1=5j4=30ef?7?k279>kl519`8974aj3;3863=2g`952?<5;8mn7?88:?16de=91301?;5:hi1=594=30bg?7?>279>lm51978974fk3;3?63=2`a95=4<5;8jo7?71:?16de=91:01?;5:hi1=:k4=30bg?70l279>lm516a8974fk3;;5:hi1=5k4=30bg?7?l279>lm519a8974fk3;3n63=2`a95=2<5;8jo7?89:?16de=9>201?<8b;3;=>;5:>h1=564=304f?7??279>:l519489740j3;3963=26`95=5<5;8;5:>h1=:h4=304f?70m279>:l516f89740j3;;5:>h1=5h4=304f?7?m279>:l519f89740j3;3o63=26`95=d<5;8301?<8b;34<>;5:>k1=574=304e?7?0279>:o519589740i3;3:63=26c95=3<5;8;5:>k1=5>4=304e?70n279>:o516g89740i3;k01?<8a;3:5>;5:>k1=4>4=304e?7?n279>:o519g89740i3;3h63=26c95=e<5;801?<8a;34=>;5:>k1=:64=4ab>4>>34?hm7?78:?6gd<60>169no5194890ef282>70;la;3;7>;2kh0:4?525bc95=7<5ol4>7d9>1fg=9>n018mn:05`?83di3;4?734?hm7?7f:?6gd<60l169no519f890ef282h70;la;3;f>;2kh0:49525bc952?<5o44>899>1f?=91=018m6:0:5?83d13;3963:c882<6=:=j31=5<4=4a:>4>634?h57?70:?6g<<6?o169n7516g890e>28=o70;l9;34g>;2k00:;o525b;952g<5;<7`=?7>827>o44>8g9>1f?=91o018m6:0:g?83d13;3o63:c88241>34?h57?88:?171d=91301?=;b;3;<>;5;=h1=594=317f?7?>279?9l519789753j3;3?63=35`95=4<5;9?n7?71:?171d=91:01?=;b;34b>;5;=h1=:k4=317f?70l279?9l516a89753j3;;5;=h1=5k4=317f?7?l279?9l519a89753j3;3n63=35`95=2<5;9?n7?89:?171d=9>201?=;a;3;=>;5;=k1=564=317e?7??279?9o519489753i3;3963=35c95=5<5;9?m7?72:?171g=91;01?=;a;3;4>;5;=k1=:h4=317e?70m279?9o516f89753i3;;5;=k1=5h4=317e?7?m279?9o519f89753i3;3o63=35c95=d<5;9?m7?74:?171g=9>301?=;a;34<>;5:;h1=574=301f?7?0279>?l519589745j3;3:63=23`95=3<5;89n7?73:?167d=91801?<=b;3;5>;5:;h1=5>4=301f?70n279>?l516g89745j3;k01?<=b;3:5>;5:;h1=4>4=301f?7?n279>?l519g89745j3;3h63=23`95=e<5;89n7?7b:?167d=91>01?<=b;34=>;5:;h1=:64=301e?7?1279>?o519:89745i3;3;63=23c95=0<5;89m7?75:?167g=91901?<=a;3;6>;5:;k1=5?4=301e?7?8279>?o516d89745i3;h01?<=a;34e>;5:;k1=4?4=301e?7>8279>?o519d89745i3;3i63=23c95=b<5;89m7?7c:?167g=91h01?<=a;3;0>;5:;k1=:74=301e?70027>;l4>889>12g=9120189n:0:4?830i3;3:63:7`82<0=:=>k1=5=4=45b>4>534?;2?h0:;n5256c952d<5<=j6<9n;<74e?7>927>;l4>919>12g=91l0189n:0:f?830i3;3h63:7`82k1=5l4=45b>4>334?282370;89;3;3>;2?00:4;5256;95=3<5<=26<6<;<74=?7?:27>;44>809>12?=91:01896:05e?83013;31=:m4=45:>41e34?<57?8a:?63<<618169:751828901>282m70;89;3;a>;2?00:4i5256;95=e<5<=26<6m;<74=?7?<27>;44>789>12?=9>20q~964;297~;0j8095l5283395g1<51528c89=7a28h<70693;3a3>{t?081<7=t=6ce>7?f342:h7?m7:?;27<6j>1v:7>:18081fm382m6371c82f2=:0?;1=o94}r5:4?6=;r7<4?=9k=0158?:0`4?xu00o0;6>u27`a965;3a3>;?=l0:n:5rs6:g>5<4s4=jm7<6a:?;56<6j>1648j51c58yv1?k3:1?v38a881=d=:08;1=o94=97`>4d03ty<4l4?:2y>3d1=:0k015>k:0`4?8>2i3;i;6s|79;94?5|5>k=6?7n;<:3f?7e?273944>b69~w2>?2908w09n5;0:e>;?800:n:5284:95g153z?4e1<51h164=951c589=3028h<7p}88783>6}:?h91>4o4=926>4d0342>:7?m7:p3=3=839p1:o=:3;b?8>7;3;i;6375482f2=z{>2?6=4<{<5b5?4>i273<<4>b69><02=9k=0q~973;297~;0i9095l527gd95g1<51?86{t?1;1<7=t=6;f>7?f34=mn7?m7:?;14<6j>1v:7m:18081e0382m6372g82f2=:0?o1=o94}r5:e?6=;r7<7b=9k=0158k:0`4?xu0100;6>u27c496;?>k0:n:5rs6;4>5<4s4=i87<6a:?;62<6j>164;o51c58yv1>>3:1?v38b281=d=:0;?1=o94=94:>4d03ty<584?:2y>3g4=:0k015<<:0`4?8>1>3;i;6s|79`94?5|5>k36?7n;<:3b?7e?2739o4>b69~w2>72908w096d;0:e>;0n00:n:5284295g155z?4=f<51h16;k951c589=2a28h<70889;3ae>;19j0:nl5rs903>5<3s4<3i7?m7:?574<6j>1649;518789=4628on7p}71d83>1}:>1n1=o94=713>4d0342?87?65:?;5c<6ml1v5?l:18780?k3;i;6392g82f2=:0=91=4;4=93g>4cb3ty3=l4?:5y>2=d=9k=01;3:3;296371c82a`=z{1;36=4;{<4;e?7e?27=>i4>b69><17=90?015?6:0gf?xu?9?0;69u269;95g1<5?8h6=273=:4>ed9~w=73290?w0878;3a3>;1:k0:n:5282d95<3<51;>6;?9:0:ih5rs933>5<3s4<3:7?m7:?56<<6j>164>j518789=7628on7p}70b83>1}:>1>1=o94=704>4d03428n7?65:?;4a<6ml1v5>n:18780?;3;i;6392782f2=:0:k1=4;4=92a>4cb3ty3<54?:5y>2=4=9k=01;<::0`4?8>413;296370882a`=z{1:=6=4;{<4;5?7e?27=>94>b69><6>=90?015>8:0gf?xu?8=0;69u269295g1<5?886=273<84>ed9~w=65290?w088f;3a3>;1:;0:n:5282495<3<51:86;?880:ih5rs6df>5<3s4<164>:5187892`a28on7p}8fb83>1}:>>i1=o94=73e>4d03428?7?65:?4ba<6ml1v:hn:187800j3;i;6391d82f2=:0:81=4;4=6da>4cb3ty3>h4?:5y>2<>=9k=01;=m:0`4?8>3m3;296372g82a`=z{18h6=4;{<4:3?7e?27=?l4>b69><1b=90?015=273>o4>ed9~w=4?290?w0865;3a3>;1;10:n:5285`95<3<51826;?:>0:ih5rs907>5<3s4<2?7?m7:?573<6j>16497518789=4228on7p}72383>1}:>0:1=o94=710>4d0342?;7?65:?;66<6ml1v5>j:18780?=3;i;6392982f2=:0:i1=4;4=92e>4cb3ty22g=9k=01;?k:0`4?8>493;29638f882a`=z{>l=6=4={<:04?7>=27ed9~wf6e290?w0m?f;3fa>;e:h0:no5266;95g0<5?;h61<3;i56376282f<=:0?81=o74=942>4d>342=<7?m9:?;1c<6j01648k51c;89=3c28h2706:c;3a=>;?=h0:n45284;95g?<51?36b89><03=9k3015;;:0`:?8>2;3;i56375382f<=:0<;1=o74=94f>4d>342=h7?m9:?;2f<6j0164;l51c;89=0f28h270699;3a=>;?>?0:n45284`95g?<51?;6b`9~w2b529094?234kmh7?m7:?a4d<6j>16n<<51c589g7428h<70l>4;3a3>;e9<0:n:52b0495g1<5k;<6b69>ecc=9k=01lhi:0`4?8d783;i;63m0082f2=:j981=o94=c20>4d034h;87?m7:?a40<6j>16n=851c589g6028h<70l?8;3a3>;e800:n;52b1`95g1<5k:h6b69>f5`=9k=01o??:0`4?8d693;i;6s|7e394?4|512<62wx;nh50;1x9=>228on707:b;3a3>;>=00:nl5rs6af>5<3s42387?je:?:1g<6j?1658751c489g6>28h<7p}8ce83>6}:0191=hk4=87:>4d>34h;47?m8:p3fe=839p156=:0gf?8?213;i463m0682f==z{>ii6=4<{<:;5?7bm272944>b69>f50=9k20q~9la;297~;?090:ih5294:95gg<5k:>6{t?j21<7=t=95f>4cb343>47?m8:?a46<6j11v:m8:1808>0l3;ni6365982f2=:j981=o64}r5`2?6=;r73;n4>ed9>=0>=9k<01o>>:0`;?xu0k=0;6>u286c95`c<50?<628on707:7;3a=>;fnl0:n55rs6a1>5<4s42<47?je:?:12<6j116mkm51c:8yv1d93:1?v377682a`=:1<=1=o94=c3;>4d?3ty<20=9lo014;8:0`5?8d6?3;i46s|7cd94?5|51=>6b99~w2db2908w0684;3fa>;>=?0:n452b0795g>53z?;36<6ml1658851c:89g7328h37p}8bb83>6}:0>81=hk4=875>4d034h:?7?m8:p3gd=839p159>:0gf?8?2>3;i:63m1382f==z{>n36=4<{<:;a?7bm272984>b`9>f47=9k20q~9k7;297~;?0m0:ih5294795g?<5k;;6{t?m?1<7=t=9:a>4cb343>97?m7:?a4`<6j11v:j;:1808>?i3;ni6365482f3=:j9n1=o64}r5g7?6=;r73444>ed9>=02=9kk01o>l:0`;?xu0l90;6>u289495`c<50??6;e890:n55rs6`b>5<4s42<<7?je:?:11<6j>16n=o51c:8yv1e13:1?v376g82a`=:1<>1=o84=`dg>4d?3tyh<;4?:33x9f6f28on70l=9;3af>;e;80:no52b2095gd<5k986bc9>f60=9kh01o=8:0`a?8d613;in63m1`82fg=:j8h1=ol4=c3`>4de34h:h7?mb:?a5`<6jk16n;e:;0:no52b3195gd<5k8?6;4>bc9>f71=9kh01o<7:0`a?8d5j3;in63m2b82fg=:j;n1=ol4=c0f>4de34h9j7?mb:?a75<6jk16::751c:8937d28h37p}mfe83>7}:1=?1=hk4=c0b>4df3tyijn4?:3y>=12=9lo01o<7:0`5?xuenh0;6?u295095`c<5k8=67}:1=:1=hk4=c07>4d13tyij:4?:3y>=6`=9lo01o<<:0`5?xuen?0;6?u292g95`c<5k896j51dg89g4628h=7p}mf583>7}:1:i1=hk4=c03>4d13tyij>4?:3y>=6d=9lo01o?i:0`5?xuen;0;6?u292c95`c<5k;n6751dg89g7c28h=7p}meg83>7}:1:=1=hk4=c3a>4d13tyiih4?:3y>=60=9lo01o?n:0`5?xuemm0;6?u292795`c<5k;26:51dg89g5028h=7p}mec83>7}:1:91=hk4=c15>4d13tyiil4?:3y>=64=9lo01o=::0`5?xuem00;6?u292395`c<5k9?6>51dg89g5428h=7p}me683>7}:1;l1=hk4=c11>4d13tyii;4?:3y>=7c=9lo01o=>:0`5?xud8:0;6?u295a95`c<5k9;67}:1=k1=hk4=c0f>4d13tyh<=4?:3y>=1?=9lo01o7}:1=91=hk4=c04>4d13tyij=4?:3y>=6>=9lo01o?l:0`5?xuem<0;6?u293f95`c<5k82628h<708>c;3a3>{tj0k1<7b99><35=9k20158=:0`;?8>193;i46376182f==:04d?342>h7?m8:?;1f<6j11648o51c:89=3>28h3706:8;3a<>;?=>0:n55284495g><51?>64>b99><04=9k2015;>:0`;?8>1m3;i46376e82f==:0?i1=o64=94a>4d?342=m7?m8:?;2<<6j1164;851c:89=3e28h3706:0;3a<>;?67}:0l?1=hk4=944>4d13tyi4h4?:3y><`5=9lo0158::0`5?xue0m0;6?u28d095`c<517}:0l:1=hk4=941>4df3tyi4l4?:3y>:0`b?xue000;6?u28eg95`c<51<;67}:0mi1=hk4=97f>4df3tyi4;4?:3y>7}:0m=1=hk4=97:>4df3tyi4<4?:3y>7}:0m91=hk4=976>4df3tyi;i4?:3y>51dg89=3528hj7p}m7`83>7}:0jl1=hk4=972>4df3tyi5:4?:3y><`b=9lo0158j:0`b?xue1?0;6?u28da95`c<517}:0lk1=hk4=94a>4df3tyi5>4?:3y><`?=9lo0158n:0`b?xue1;0;6?u28d:95`c<51<267}:0m31=hk4=97a>4df3tyi;44?:3y>m6{tk;=1<7=t=7;2>4d134<887?m6:?a6=<6ml1vn<::18080?n3;i:6393382f3=:j;<1=hk4}ra10?6=;r7=4h4>b79>267=9k<01o<::0gf?xud::0;6>u269f95g0<5?9;6d28h=708=f;3a2>;e::0:ih5rsb02>5<4s4<3n7?m6:?56`<6j?16n?<51dg8yve583:1?v398`82f3=:>;n1=o84=c02>4cb3tyh=k4?:2y>2=?=9k<01;27i=k4>ed9~wf7c2908w0877;3a2>;1:h0:n;52b0g95`c53z?5<3<6j?16:?751c489g7c28on7p}l1`83>6}:>1>1=o84=704>4d134h:n7?je:pg4?=839p1;6<:0`5?805>3;i:63m1`82a`=z{j;36=4<{<4;6?7e>27=>84>b79>f4?=9lo0q~m>7;297~;1080:n;5263695g0<5k9<651c48934428h=70l<6;3fa>{tk8?1<7=t=75e>4d134<9>7?m6:?a70<6ml1vn?;:180800m3;i:6392082f3=:j:>1=hk4}ra27?6=;r7=;i4>b79>276=9k<01o=<:0gf?xud9;0;6>u266a95g0<5?;m6e;3a2>;e;80:ih5rsb0f>5<4s4<247?m6:?57g<6j?16n>>51dg8yve5l3:1?v399682f3=:>:k1=o84=c0e>4cb3tyh>n4?:2y>2<0=9k<01;=6:0`5?8d5m3;ni6s|c3`94?5|5?3>627i>i4>ed9~wf4f2908w0864;3a2>;1;>0:n;52b3a95`c53z?5=6<6j?16:>851c489g4e28on7p}l2783>6}:>0:1=o84=710>4d134h9;7?je:pg4d=839p1;6::0`5?80503;i:63m1b82a`=z{j;;6=4<{<44e?7e>27==i4>b79>f7?=9lo0q~9je;296~;0j80:;:52b1;95`c52z?4f5<6?>16n=651dg8yv1bk3:1>v38ag8232=:j9=1=hk4}r5ff?6=:r7769>f50=9lo0q~9ja;296~;0im0:;:52b1795`c52z?4ef<6?>16n=:51dg8yv1b03:1>v38ac8232=:j991=hk4}r5f3?6=:r7769>f54=9lo0q~9j6;296~;0i00:;:52b1395`c52z?4e2<6?>16mkh51dg8yv1b;3:1>v38a78232=:ioo1=hk4}r5f6?6=:r7769>ece=9lo0q~9j1;296~;0i=0:;:52b0:95`c52z?4e6<6?>16n<951dg8yv1cn3:1>v38a38232=:j8<1=hk4}r5ga?6=:r7769>f43=9lo0q~9kd;296~;0i90:;:52b0695`c52z?4=c<6?>16n<=51dg8yv1cj3:1>v389d8232=:j881=hk4}r5e1?6=:r7769>f47=9lo0q~9i4;296~;0j>0:;:52b0295`c52z?4f3<6?>16n=h51dg8yv1a:3:1>v38b48232=:j9o1=hk4}r5e5?6=:r7769>f5b=9lo0q~9i0;296~;0j:0:;:52b1a95`c52z?4f7<6?>16n=l51dg8yv1b=3:1>v38a98232=:j9:1=hk4}r5ge?6=:r7<5i4>769>f5g=9lo0q~9k9;296~;01j0:;:52agf95`c61b42;3j70<;8882f2=::=2j6;5<121=o94}r07f<<72;q6>9j>:3;b?8430>0:n:5rs36a528c8972?>3;i;6s|25`5>5<5s48?oh4=9`9>61>328h<7p}=4c794?4|5;>hh7<6a:?10=5=9k=0q~<;b583>7}::=ih6?7n;<07<7<6j>1v?:m3;296~;54o4=36;5?7e?2wx>9l=:181843kh095l5225:3>4d03ty98o?50;0x972d1382m63=46d95g161e?2;3j70<;7d82f2=z{;>jj7>52z?10f1=:0k01?:8d;3a3>{t:=kn6=4={<07g3<51h16>99l:0`4?xu5i2798:l51c58yv43k;0;6?u225fb>7?f348?5<4>b69~w72d93:1>v3=4e;962<7?m7:p61e72909w0<;d981=d=::=2m6;5<1o1=o94}r07f`<72;q6>9j9:3;b?8430m0:n:5rs36a`?6=:r798i;528c8972?k3;i;6s|25``>5<5s48?h94=9`9>61>e28h<7p}=4c594?4|5;>hj7<6a:?10=3=9k=0q~<;ab83>7}::=i?6?7n;<073d<6j>1v?:nb;296~;54o4=364=?7e?2wx>h1hh5223da>ac<5;8:l5e19>67`e2l:01?<8b;f3?845nk0o<63=26`9`4=::;li6i?4=304f?b53489jo4k2:?162d=l:16>?hm:e189740j3n?70<=fc8g0>;5:>h1h85223da>a3<5;8279>:l5d69>67`e2m=01?:n3;3fa>{t:8;<6=4<{<013gkl5de9>61g528on7p}=10494?5|5;8u2235a>ad<5;8mn7jm;<07e5<6ml1v??>4;297~;5:>h1hl5223da>ag<5;>2j7?je:p64752908w0<=7c8g=>;5:oh1h45225;g>4cb3ty9=;5<0i1=hk4}r0255<72:q6>?9m:bg8974aj3in70<;9c82a`=z{;;;j7>53z?162g=l?16>?ol:e48972>i3;ni6s|202f>5<4s489;l4k5:?16de=l<16>976:0gf?xu599n1<7=t=304e?b33489mn4k4:?10<>=9lo0q~<>0b83>6}::;=j6i=4=30bg?b4348?5:4>ed9~w777j3:1?v3=26c9`7=::;kh6i<4=36:2?7bm2wx><>n:180845?h0o=63=2`a9`4=::=3>6671f2l:01?:o5dg9>67gd2ml01?:n9;3fa>{t:8;o6=4<{<013dlm5dd9>61g?28on7p}=10a94?5|5;8u2235b>ae<5;8jo7jl;<07e3<6ml1v??>a;297~;5:>k1ho5223c`>ad<5;>j97?je:p647>2908w0<=7`8ge>;5:hi1hl5225c7>4cb3ty9=<=50;1x9740i3n270<=ab8g=>;5<0o1=hk4}r024=<72:q6>?9n:bd8974fk3im70<;9282a`=z{;;;;7>53z?162g=kl16>?ol:bg8972>:3;ni6s|2517>5<5s4888l4=019>613b28h<7p}=42194?4|5;9?m7?if:?100c=9k<0q~<;3383>7}:::>j69;l:181844o522543>4d>3ty988l50;0x9753i389m63=47295g>662f2;8270<;5g82f2=z{;>>57>52z?171g=:;201?::f;3a2>{t:=?36=4={<000d<5:>16>9;i:0`:?xu5<<;1<7775348?9k4>bc9~w724j3:1>v3=35c9651<5;>>j7?ma:p61572909w0<<4`82bf=::=?n6;5<>:7>53z?70f<6j?16?lh51c489753j38;j6s|2576>5<4s4>?m7?m6:?0e`<6j?16>>:m:32f?xu5<<>1<7=t=56:>4d1349jo7?m6:?171d=:9n0q~<;5283>6}:<=21=o84=2ca>4d134888o4=0b9~w722:3:1?v3;4682f3=:;hk1=o84=317f?47j2wx>9;?:180823>3;i:63i6?>n;|q101`=839p19:::0`5?85f03;i:63=35`965?012=9k<01>o8:0`5?8444>b79>7d0=9k<01?=;b;032>{t:=>h6=4<{<676?7e>278m84>b79>662e2;:>7p}=45`94?5|5=>:6279?9l52168yv43u242d95g0<5:k86;4<80:n;52226a>7663ty989950;1x915d28h=70=;0;3a2>;5;=h1>=>4}r0700<72:q68>l51c48965a28h=70<<4c82bc=z{;>?87>53z?77d<6j?16?>k51c489753j3;mi6s|2560>5<4s4>857?m6:?07a<6j?16>>:m:0dg?xu5<=81<7=t=51;>4d13498o7?m6:?171d=:;h0q~<;4083>6}:<:=1=o84=21a>4d134888o4=2`9~w72383:1?v3;3782f3=:;:k1=o84=317f?4512wx>9=i:180822;3;i:63<3882f3=:::>i6?<7;|q106c=839p19;=:0`5?85e>3;i:63=35`9671007=9k<01>l::0`5?844b79>7g2=9k<01?=;b;026>{t:=9j6=4<{<67b?7e>278n>4>b79>662e2;:<7p}=42;94?5|5=>n6279?9l51ga8yv43;10;6>u245`95g0<5:h:6;4i;0:n;52226b>7653ty98>;50;1x9753i38;=63;3582f3=:;:=1=o84}r00`3<72;q6>??o51gd8975a13;i:6s|22f7>5<5s489>l4>fd9>66`>28h27p}=3e194?4|5;89m7?id:?17c?=9k20q~<7}::;8j6??o4=31ef?7e02wx>>h::181845:h09>45222db>4d03ty9?k:50;0x9745i389463=3gc95g04?:3y>674f2;8<70<7>52z?167g=:8n01?=ia;3a<>{t::o36=4={<016d<59;16>>hn:0`a?xu5;mn1<74`d3488j44>bc9~w75c93:1>v3=23c95cd<5;9m57?ma:p66`62908w0<=2c814<=::8h?6<7:;<02`<<6j11v?=i0;297~;5:;h1>=64=33a7?7>=279=i651c:8yv44mo0;6>u2230a>761348:n?4>949>64b028h37p}=3dg94?5|5;89n7{t::oo6=4<{<016g<58=16>?l52118977fm3;2963=1e195g>674e2;:970<>ae82=0=::8n96;59hi1=4;4=33g5?7e02wx>>k6:180845:k09<=5220ca>4?2348:h=4>b99~w75b?3:1?v3=23`95c`<5;;jm7?65:?15f`=9k20q~<6}::;8i65<4s489>o4=2c9>64g0283>70<>cb82f==z{;9n?7>53z?167d=:;k01??n6;3:1>;59jh1=o64}r00a7<72:q6>?4d?3ty9?h?50;1x9745j389463=1b595<3<5;;n;7?m8:p66c72908w0<=2c8162=::8i=6<7:;<02a3<6j11v?=kf;297~;5:;h1>=279=h;51c:8yv44ll0;6>u2230a>775348:o94>949>64c328h37p}=3ea94?5|5;89n7{t::ni6=4<{<016g<6nj16>?l51g`8977en3;2963=1ed95g>674f2;:870<>ag82=0=::8n?6=839p1?<=a;036>;59h>1=4;4=33`=?7e02wx>>j8:180845:h09<<5220c0>4?2348:o54>b99~w725?3:1>v3=2g`9647<5;>9i7?m6:p61662909w0<=7`8145=::=8h6;5<;i1=o84}r00bc<72;q6>?9n:0df?843:j0:n45rs31ea?6=:r79>:o51gf89725k3;i46s|250b>5<5s489;l4=2c9>614b28h27p}=43;94?4|5;87}::;=j6?<6;<076a<6j>1v?:=6;296~;5:>k1>?64=361`?7e>2wx>9<::181845?h09>:52250g>4d>3ty984?:3y>671f2;;970<;2e82fg=z{;>;47>52z?162g=:9=01?:=d;3ae>{t::lo6=4={<013d<6nj16>94`b349k1=o94}r0767<72;q6>?hm:30a?85003;i;6s|2502>5<5s489jo4=2`9>721=9k=0q~<;2183>7}::;li6?<6;<142?7e?2wx>9?i:181845nk09>55236795g167`e2;8<70=84;3a3>{t:=;h6=4={<01bg<59m16?:=51c58yv439k0;6?u223da>775349<>7?m7:p617f2909w0<=fc8142=:;>;1=o94}r075<<72;q6>?hm:0d`?85083;i;6s|253;>5<5s489jo4>fc9>73`=9k=0q~<;1683>7}::;kh6?><;<15`?7e?2wx>9?9:181845ij0967gd2;::70=9b;3a3>{t:=;?6=4={<01ef<58916?;o51c58yv439;0;6?u223c`>4`a349=57?m7:p61762909w0<=ab82b`=:;?21=o94}r0755<72;q6>?ol:0dg?851?3;i;6s|252e>5<5s489mn4=2c9>730=9k=0q~<;0d83>7}::;kh6?9>k:181845ij09>45237695g167gd2;8370=71;3a3>{t:=:i6=4={<01ef<5:>16?5>51c58yv438h0;6?u223c`>77c3492909w0<=ab8157=:;>o1=o94}r0742<72;q6>?ol:324?850l3;i;6s|2525>5<5s489mn4>fb9>72e=9k=0q~<;0483>7}::;kh69>;:181845?h09<>5237g95g14?:3y>671f2;:970=93;3a3>{t:=:96=4={<013d<58816?;<51c58yv46;80;6>u2206b>7?f3488no4>b69>64b>28h<7p}=12294?5|5;;?57<6a:?17gg=9k=01??k8;3a3>{t:88m6=4<{<020=<51h16>>l6:0`4?846l>0:n:5rs331a?6=;r79=99528c8975e03;i;63=1e495g1i4?:2y>64212;3j70<6;5;k?1=o94=33g7?7e?2wx><4d0348:h?4>b69~w77513:1?v3=150962983>6}::8>:6?7n;<00gd<6j>16>i279?n751c58977dn3;i;6s|2005>5<4s48:?k4=9`9>66e?28h<70<>cd82f2=z{;;997>53z?156c=:0k01?=l7;3a3>;59jn1=o94}r0261<72:q6><=k:3;b?844k?0:n:5220a`>4d03ty9=?=50;1x9774k382m63=3b795g1<5;;hn7?m7:p64452908w0<>3c81=d=:::i?61v??<8;297~;59<;1>4o4=31`7?7e?279=h951c58yv46;>0;6>u22073>7?f3488o?4>b69>64c128h<7p}=12494?5|5;;?j7<6a:?17f7=9k=01??j5;3a3>{t:89>6=4<{<020`<51h16>>m?:0`4?846m=0:n:5rs3300?6=;r79=9j528c8975en3;i;63=1d195g14?:2y>642d2;3j70<;5;kn1=o94=33gb?7e?2wx><4d0348:h94>b69~w77593:1?v3=12c962183>1}::8926?7n;<600?7e1279=n651c58965028h27p}=18`94?5|5=>>6u245695g1<5:k<6;4i<0:n:5222`;>4cb3ty9=4950;1x912628h<70=n4;3a3>;5;k=1=hk4}r02=0<72:q68>h51c5896g428h<70<53z?77`<6j>16?9<51c58975e<3;ni6s|20;0>5<4s4>8h7?m7:?004<6j>16>>l<:0gf?xu59081<7=t=51`>4d0349?<7?m7:?17fg=9lo0q~<>9083>6}:<:h1=o94=21e>4d03488o44>ed9~w77>83:1?v3;3`82f2=:;:o1=o94=31`<6i:18082413;i;63<3e82f2=:::i<6061=9k=01>=m:0`4?844k<0:ih5rs33;g?6=;r7??;4>b69>76g=9k=01?=l4;3fa>{t:8k96=4<{<667?7e?278?44>b69>66e428on7p}=1`394?5|5=?96u244395g1<5:h>6;4j:0:n:5222`e>4cb3ty9=4j50;1x912b28h<70=m2;3a3>;5;ko1=hk4}r02=f<72:q689l51c5896d628h<70<53z?705<6j>16?lj51c58975e>3;ni6s|20:a>5<4s4>897?m7:?0e7<6j>16>>ll:0gf?xu5<40734>>87?90:?1036=9lo0q~<6}::8k86<8?;<02a=<6>916>>hm:0gf?xu5<;h1<7=t=361a?7bm27??94>b69>761=9k=0q~<>6c83>4e|5;8;=7?je:?1670=9k=01?<>f;3:1>;5;h91=o94=31b0?7e?279?lm51c58975fl3;i;63=3`g95g1<5;9jj7?m7:?17g6=9k<01?=m1;3a2>;5;k81=o84=31:e?7e>279?4l51c48975>k3;i:63=38f95g1<5;92i7?m6:?17<`=9k<01?=n0;3a2>;5;h;1=o84=31b6?7e>279?l;51c58975f>3;i;63=3`595g1<5;9j47?m7:?17d?=9k=01?=na;3a3>;5;hh1=o94}r022d<72;q6>?>?:0gf?845:?0:n;5rs3355<5s48:ji4>ed9>674228h27p}=17494?4|5;;mo7?je:?1673=9k20q~<>6483>7}::8li61v??94;296~;59ok1=hk4=3011?7e>2wx><8<:187846n00:ih52230:>4d13489>94>b`9>66g528h<7p}=17094?2|5;;m47?je:?167?=9k=01?<=4;3a=>;5;h;1=o94}r0224<72=q6>4d?3488m=4>b69~w77183:18v3=1g495`c<5;8957?m9:?1672=9k=01?=6f;3a3>{t:8?m6=4;{<02b0<6ml16>?<6:0`b?845:=0:n;5222;f>4d03ty9=8j50;6x977a;3;ni63=23:95g0<5;89?7?ma:?175b83>1}::8l9616>?<<:0`:?8441k0:n:5rs336f?6=<5;92m7?m7:p643f290?w0<>f182a`=::;83616>>l=:0`4?xu59<31<7:t=33fb?7bm279>?651cc89745;3;i:63=3c395g164cb28on70<=2682f3=::;8961v??:7;297~;59ln1=hk4=3016?7ei279?lh51c:8yv46=?0;6>u220g`>4cb3489>?4>b89>66gb28h37p}=14794?5|5;;nn7?je:?1674=9k201?=nd;3a<>{t:8??6=4<{<02ad<6ml16>?<=:0`4?844ij0:n55rs3345?6=;r79>=951dg8974593;im63=3``95g>676128on70<=2082f<=:::kj6;5:;;1=o64=31b=?7e02wx><8j:1808458=0:ih522302>4d03488m54>b99~w771l3:1?v3=21195`c<5;89=7?m6:?17d1=9k20q~<>6b83>6}::;:96>o9:0`;?xu59?31<7=t=33eb?7bm279>?>51c;8975f=3;i46s|207f>5<4s48:j94>ed9>674728h370<<9e82f==z{;;>?7>53z?15`?=9lo01?<=0;3a3>;5;h>1=o64}r0217<72:q6>4d?3ty9=5=50;0x9773i3;<;63=3`095`c642>28=<70<52z?151>=9>=01?=n0;3fa>{t:82;6=4={<0202<6?>16>>7i:0gf?xu59>l1<741034885n4>ed9~w770k3:1>v3=1519521<5;92n7?je:p641e2909w0<>438232=:::3j6;5;k81=hk4}r023<<72;q6><:?:054?844j80:ih5rs334h51658975e83;ni6s|2054>5<5s48:?h4>769>66ga28on7p}=16494?4|5;;8h7?87:?17dc=9lo0q~<>7483>7}::89h6<98;<00ea<6ml1v??84;296~;59:h1=:94=31bg?7bm2wx><6n:181846=80:;:5222ca>4cb3ty9=5750;0x977283;<;63=3`c95`c642a28=<70<52z?151c=9>=01?=n8;3fa>{t:82=6=4={<020a<6?>16>>o8:0gf?xu591?1<74103488m84>ed9~w770m3:1>v3=1579521<5;92h7?je:p64142909w0<>3`8232=:::k?6;5;h91=hk4}r51=?6=?r7<844=9`9>2<4=9k201;=::0`;?830i3n?70;8a;f6?830i3n=70;8a;f4?xu0:10;69u275:96;l4k3:p370=83>p1::9:3;b?80?n3;i46393382f==:=>k1h?5rs606>5<3s4=?97<6a:?5<`<6j116:>?51c:8901f2m;0q~9=4;290~;0<=095l5269f95g><5?9;6>4?:5y>315=:0k01;6l:0`;?805n3;i463:7`8f4>{t?;81<7:t=661>7?f34<3n7?m8:?56`<6j1169:o5dg9~w246290?w09;1;0:e>;10h0:n55263f95g><5<=j6ik4}r514?6=2=?=9k201;1}:?:l1>4o4=7:;>4d?34<9n7?m8:?63d;1:h0:n55256c9`g=z{>;o6=4;{<50`?4>i27=4;4>b99>27?=9k20189n:ec8yv16j3:18v383c81=d=:>1>1=o64=704>4d?34?o528c893>428h3708=6;3a<>;2?h0hj6s|70;94?2|5>926?7n;<4;6?7e027=>84>b99>12g=kl1v:?7:1878140382m6398082f==:>;>1=o64=45:>a054z?472<51h16:5>51c:8934428h370;89;f6?xu09?0;69u272496;44k4:p343=83>p1:=::3;b?800m3;i46392082f==:=>31h>5rs637>5<3s4=887<6a:?53a<6j116:?>51c:8901>2m80q~9>3;290~;0;:095l5266a95g><5?;m6364=:0k01;9m:0`;?806m3;i463:788g4>{t?;l1<7:t=66e>7?f34<247?m8:?57g<6j1169:75e19~w24b290?w09;e;0:e>;11>0:n55262c95g><5<=26ih4}r51`?6=2<0=9k201;=6:0`;?83013nn7p}82b83>1}:?=i1>4o4=7;6>4d?34<847?m8:?63<;1;>0:n55256;9`f=z{>8j6=4;{<57e?4>i27=5>4>b99>260=9k201896:e`8yv15?3:18v384681=d=:>0:1=o64=710>4d?34?<57jn;|q45f<72=q6;>m528c893>228h3708=8;3a<>;2?00o56s|70394?2|5>9:6?7n;<44e?7e027==i4>b99>12?=ko1v:??:1878148382m63:788`a>;1?00:no5260a95gd55z?6gdol4k5:?6gd27>ol4k7:?55g<6ml1v:>j:18183di3n8708>a;3fa>{t?9n1<7a4<5?;267}:=>31=kh4=7g3>4d13ty=n94?:3y>12?=9oo01;k?:0`:?xu1j:0;6?u256;95cb<5?o;67}:=>31>?o4=7g1>4d?3ty=hn4?:3y>12?=:;301;k>:0`4?xu1lk0;6?u256;967><5?o:67}:=>31>4d?3ty=o54?:3y>12?=:8801;k>:0`a?xu1jm0;6?u256;9651<5?o:67}:=>31=kl4=7g3>4df3ty=h44?:2y>104=9k=019h::0`4?830i38:<6s|6e:94?5|5;l4=0g9~w3b02908w0;;f;3a3>;3n;0:n:5256c965c53z?60`<6j>168k?51c58901f2;:o7p}9d483>6}:==n1=o94=5d3>4d034?b69>12g=:9k0q~8k1;297~;26;|q5`5<72:q699751c5891cd28h<70;8a;03<>{t>jl1<7=t=46;>4d034>nn7?m7:?63d<58?1v;mj:180833?3;i;63;e`82f2=:=>k1>=;4}r4``?6=;r7>8;4>b69>0`?=9k=0189n:327?xu1kj0;6>u255695g1<5=o<6;2?h095<4s4??>7?m7:?7a0<6j>169:o52138yv0d13:1?v3:4082f2=:1=o94=45b>7673ty=o:4?:2y>116=9k=019k<:0`4?830i3;mj6s|6b494?5|5<9m6;l4>fd9~w3e22908w0;;3m80:n:5256c95cb53z?67a<6j>168h>51c58901f2;8i7p}9c283>6}:=:i1=o94=5fe>4d034?b69>12g=:;20q~8l0;297~;2=>0:n:524gc95g1<5<=j6?<8;|q5fc<72:q698851c5891`>28h<70;8a;02`>{t>ko1<7=t=476>4d034>m47?m7:?63d<59;1v;ll:180832<3;i;63;f682f2=:=>k1>=94}r4af?6=;r7>9>4>b69>0c0=9k=0189n:0d`?xu1jh0;6>u254295g1<5=l86;2?009<>5rs7`;>5<4s4?8m7?m7:?7`a<6j>169:752108yv0e?3:1?v3:3882f==:7663ty=i54?:3y>1f?=:9:01:>n:0`4?xu1m>0;6?u25b;95c`<5>:j67}:=j31=kj4=62b>4d?3ty<<54?:3y>1f?=:;h01:>l:0`:?xu08>0;6?u25b;967g<5>:h67}:=j31>?64=62a>4d13ty<<94?:3y>1f?=:;=01:>m:0`:?xu08:0;6?u25b;964b<5>:i67}:=j31>=94=62a>4df3ty=i94?:3y>1f?=9oi01:>n:0`a?xu1m:0;6?u25b;95cd<5>:j6p18:6:0`5?82bk3;i:63:4`82f3=:4d134>ni7?m6:?60f<6j?168hh51c48902c28h=70:i0;3a2>;2m627>9<4>b79>0c2=9k<018;=:0`5?82a=3;i:63:c`814==:?9i1=o84}r535?6=;r7>854>b79>0`d=9k<018mn:325?xu0890;6>u255595g0<5=oj6;2kh09<95rs7df>5<4s4??87?m6:?7a2<6j?169no52118yv0al3:1?v3:4282f3=:7653ty=jn4?:2y>114=9k<019k::0`5?83di38;=6s|6g`94?5|5<>:627>ol4=019~w3`>2908w0;;0;3a2>;3m:0:n;525bc95c`53z?67c<6j?168h<51c4890ef28ln7p}9f683>6}:=:o1=o84=5g2>4d134?hm7?id:p2c0=839p18=k:0`5?82b83;i:63:c`816g=z{?l>6=4<{<70g?7e>27?hk4>b79>1fg=:;k0q~8i4;297~;2;k0:n;524eg95g0<5{t>o81<7=t=474>4d134>mm7?m6:?6gd<5:>1v;h>:180832>3;i:63;f882f3=:=jk1>984>b79>0c>=9k<018mn:331?xu1ml0;6>u254695g0<5=l<6;2kh0:jn5rs7g`>5<4s4?><7?m6:?7b6<6j?169no51g`8yv0bj3:1?v3:4482f3=:7643ty=il4?:2y>16g=9k<019jk:0`5?83d138;>6s|6d;94?5|5<92627>o44=009~w3ba2908w0;<9;3a3>;3lj0:n:526d095`c53z?7bf<6>91698751728926d28on7p}85183>7}:=>k1>4d13ty<:i4?:21x972d;3;>>63=4b69504<5;>hj7?:2:?10a2=9<801?:k5;366>;5>63=4ec9504<5;>h97?:2:?10f0=9<801?:l7;366>;5>63=4ba9504<5;>hh7?:2:?10fc=9<801?:k0;366>;5388217=::89j6<;=;<0200<6=;16><:m:071?846435348:8h4>539>642a28?970<>518217=::8?:6<;=;<027g<6=;16><=l:071?846;m0:9?52201f>435348:?k4>539>642728?970<>408217=::8>96<;=;<0206<6=;16><:;:071?846435348:854>539>642>28?970<>4`8217=:<><1=o94}r55a?6=9oq6;;7528c892?d28?97096d;366>;0i10:9?527c09504<5>h86<;=;<5a0?72:27539>3g0=9<801:l8:071?81e03;>>6389d8217=:?0l1=8<4=6c3>43534=j=7?:2:?4e7<6=;16;l=5140892g328?9709n5;366>;0i?0:9?527`59504<5>k26<;=;<5be?72:27539>3de=9<801:ok:071?81fm3;>>638ag8217=:?k:1=8<4=6`2>43534><;7?m7:p63762909:v386`8232=::9i86<;=;<03g1<6=;16>=m::071?847k?0:9?5221a4>435348;o54>539>65e>28?970=mk:071?847kl0:9?5221ae>435348;h=4>539>65b628?970=k8:071?847m10:9?5221g:>435348;il4>539>65ce28?970=ki:071?847n90:9?5221d2>435348;j?4>539>65`428?9706<;=;<03b3<6=;16>=h8:071?847n10:9?5Q2732?xu0=80;6;u225a0>41034>:n:4`8972013;i:63=47395<3>7>56z?10f2=9>=01;oi:0gf?845:h0>o63=35c91f=::==j6o87?87:?5=<<6ml16>?9j::054?80>i3;ni63=23c931=:::>j6::4=36;g?7e>2798:=51878yv11<3:1:v3=4e49521<5?3i661>c28h=70<;7582=0=z{><>6=49{<07`2<6?>16:4m51dg89745i3==70<<4`842>;5<1o1=o84=3641?7>=2wx;;850;4x972c03;<;6399e82a`=::;8j6:94=317e?10348?4k4>b79>6111283>7p}86683>3}::=n26<98;<4:a?7bm279>?o5799>662f2>201?:60;3a2>;5<>=1=4;4}r55r798io5165893?a28on70<=2`84=>;5;=k1;45225;2>4d1348?;54>949~w234290=w0<;c48232=:>h:1=hk4=301e?3c34888l4:d:?102d=9k<01?:93;3:1>{t?<>1<78t=36`2?70?27=m<4>ed9>674f24?23ty<984?:7y>61e028=<708n2;3fa>;5:;k19k52226b>0`<5;>4cb3489>l490:?171g=>916>99j:0`5?843>?0:585rs674>5<1s48?o44>769>2d2=9lo01?<=a;42?844=;7?65:p30>=83;1i<0:ih52230b>34<5;9?m78=;<07<5<6j?16>987:0;6?xu0=00;6;u225aa>41034:16>>:n:718972?93;i:63=47;95<3m7>56z?10fe=9>=01;o8:0gf?845:h0=863=35c921=::=296hi7?87:?5e<<6ml16>?9j?:054?80fi3;ni63=23c92==:::>j6;64=36;2?7e>2798;k51878yv12n3:1:v3=4e39521<5?ki661>028h=70<;6g82=0=z{><;6=49{<07`7<6?>16:lm51dg89745i3;5<121=o84=3644?7>=2wx;;?50;;x972c;3;<;639ae82a`=::;8j6;l4=301e?0d34888l49b:?171g=>j16>966:0`5?843?80:585225:b>4d13ty9<5:50;0x976?<3;ni63=08495f3651528on7053z?14=5=9lo01?>82;0b3>;580?1=n;4}r0334<72:q6>=9>:0gf?847?;0::=5221;6>4073ty9<5<50;1x976?:3;ni63=06396d1<5;:287?l5:p65172908w091v?>71;297~;581;1=hk4=3244?4f?279<4=51b78yv47>o0;6>u2214e>4cb348;;=4>619>65?428<;7p}=09294?5|5;:3<7?je:?143`=:h=01?>62;3`1>{t:9=8i:043?8471;0::=5rs324b?6=;r79<:h51dg89761m38j;63=08395f3650c28on708e;3fa>;58?n1>l94=32:4?7d=2wx>=8l:180847>j0:ih52214g>407348;5=4>619~w760l3:1?v3=06f95`c<5;:=o76}::9916>=6i:043?xu58>i1<7=t=324g?7bm279<;l52`58976?m3;h96s|214b>5<4s48;:l4>ed9>650e28<;7053z?142d=9lo01?>9a;0b3>;581n1=n;4}r033d<72:q6>=9n:0gf?847>h0::=5221:g>4073ty9<5m50;1x976?k3;ni63=06c96d1<5;:2i7?l5:p651>2908w091v?>7b;297~;581h1=hk4=324=?4f?279<4j51b78yv47?10;6>u2215;>4cb348;;44>619>65?c28<;7p}=09c94?5|5;:3m7?je:?142>=:h=01?>6c;3`1>{t:9=<6=4<{<0332<6ml16>=97:043?8471j0::=5rs32;=?6=;r79<5751dg89760?38j;63=08`95f3651128on70=839p1?>78;3fa>;58><1>l94=32:e?7d=2wx>=9::180847?<0:ih522155>407348;5l4>619~w76??3:1?v3=09595`c<5;:<976}::9=?6916>=76:043?xu581<1<7=t=32;2?7bm279<::52`58976>03;h96s|2150>5<4s48;;>4>ed9>651328<;7053z?14=3=9lo01?>83;0b3>;580=1=n;4}r0371<72:q6>=m<:054?8470<0:n:522165>4cb3ty9<>;50;1x976d<3;<;63=09495g1<5;:>m7?je:p655b2908w0u221a4>410348;444>b69>652c28on7p}=05394?5|5;:h47?87:?14=g=9k=01?>;f;3fa>{t:9>96=4<{<03g<<6?>16>=6m:0`4?847=80:ih5rs3277?6=;r7965ee28=<706lc;343>;58>i1=o94=3263?7bm2wx>==9:180847km0:;:52215g>4d0348;9n4>ed9~w764?3:1?v3=0bg9521<5;:6}::9im6<98;<033c<6j>16>=8?:0gf?xu58:31<7=t=32g4?70?279<5>51c589761:3;ni6s|211b>5<4s48;h<4>769>65>628h<7053z?14a4=9>=01?>72;3a3>;58?<1=hk4}r037f<72:q6>=j<:054?8470:0:n:52214;>4cb3ty9<>j50;1x976c<3;<;63=09695g1<5;:?;7?je:p65`d290:?v3=11695`c<5;:=47?m6:?1430=9k<01?>94;3a2>;58?81=o84=3254?7e>279<8k51c489762k3;i:63=04c95g0<5;:>;7?m6:?1403=9k<01?>:3;3a2>;58<;1=o84=327b?7e>279<9j51c489763j3;i:63=05;95g0<5;:?;7?m6:?1410=9k<0q~7}::9o<6<98;<03=2<6ml1v?>n0;296~;58l21=:94=32:=o>:181847m00:;:5221;:>4cb3ty94?:3y>65ce28=<7052z?14`e=9>=01?>6c;3fa>{t:9k>6=4={<03aa<6?>16>=7k:0gf?xu58h<1<70;6?u221ge>410348;4i4>ed9~w76f03:1>v3=0g29521<5;:3i7?je:p65g>2909w0i2;343>;580:1=hk4}r03eg<72;q6>=h<:054?847180:ih5rs32bg?6=:r79:3;ni6s|21cg>5<5s48;j84>769>65?428on7p}=0`g94?4|5;:m:7?87:?14<2=9lo0q~7}::9l<6<98;<03=0<6ml1v?>m0;296~;58o21=:94=32:2?7bm2wx>=h6:1827~;6j031=hk4=325<5;:=<7?m8:?140c=9k201?>:c;3a<>;58<5;:?j7?m8:?141b=9k201?>;b;3a<>;58=31=o64=3273?7e0279<9851c:8yv47nh0;6<=t=0`:e?7bm279<;651c;89761>3;i563=07695g?<5;:=>7?m9:?1436=9k301?>:e;3a=>;58=7?m9:?141`=9k301?>;d;3a=>;58=h1=o74=327=?7e1279<9951c;89763>3;i56s|21da>5<6;r7:n4l51dg8976103;i;63=07495g1<5;:=87?m7:?1434=9k=01?>90;3a3>;58?7?m7:?1407=9k=01?>;f;3a3>;58=n1=o94=327f?7e?279<9751c589763?3;i;63=05495g152z?f24<6ml16i:851728yvc183:1?v3j6182a`=:m?;1=;>4=d56>4073tyn9k4?:2y>a0`=9lo01h8?:043?8c0<3;=<6s|e4f94?4|5l?o6{tm4cb34o>o7?90:pa0g=838p1h;n:0gf?8c2j3;=<6s|e4;94?4|5l?26{tm<=1<74cb34o>47?90:pa00=838p1h;9:0gf?8c2?3;=<6s|e4794?4|5l?>6{tm<81<74cb34o>87?90:pa07=838p1h;>:0gf?8c2:3;=<6s|e4294?4|5l?;6;b?80::=5rsd6f>5<4s4o?i7?je:?f0c<6>916i:>51728yvc3l3:1?v3j4e82a`=:m=o1=;>4=d4e>4073tyn8n4?:2y>a1e=9lo01h:k:043?8c1m3;=<6s|e5`94?5|5l>i6619~w`2f2908w0k;a;3fa>;b53z?f0<<6ml16i9o517289`0e28<;7p}j6683>6}:m?=1=hk4=d6:>40734o=m7?90:pa30=839p1h89:0gf?8c1?3;=<63j7b8225=z{l<>6=4<{619>a2d=9?:0q~k94;297~;b>=0:ih52e779536<5l=j6<8?;|qf26<72:q6i;=51dg89`0328<;70k89;354>{tm?81<7=t=d41>4cb34o=?7?90:?f3=<6>91vh;j:1808c2m3;ni63j638225=:m>=1=;>4}rg67?6=;r7n9>4>ed9>a0c=9?:01h9<:043?xub<10;6>u2e5:95`c<5l?86<8?;;b>00::=5rs0;26?6=;r7:5<<51dg8976213;i463j4682f3=z{839?7>52z?2=44=:h=01<7=3;3fa>{t90;:6=4={<3:54<6ml16=4?=:043?xu61;81<7;:0;6?u21810>4cb34;2=<4>949~w4?683:1>v3>90295`c<583:=7?90:p5<462909w0?62082a`=:90;;6?o8;|q2=64=838p1<7<2;3fa>;618:1=4;4}r3:4c<72;q6=4>i:0gf?87>990::=5rs0;14?6=:r7:5?>51dg894?7n38j;6s|1812>5<5s4;2?<4>ed9>5<6a283>7p}>91g94?4|583;i7?je:?2=5`=9?:0q~?61g83>7}:90;m61v<7<0;296~;61::1=hk4=0;3a?7>=2wx=4>k:18187>8m0:ih52182f>4073ty:591f96d1k4?:3y>5<4a28on70?60e82=0=z{83;o7>52z?2=5e=9lo01<7?d;354>{t90;o6=4={<3:5a<6ml16=4>l:3c4?xu61;o1<79h0;6?u2183b>4cb34;2619~w4?5j3:1>v3>93`95`c<583:m79;3fa>;618k1=;>4}r3:6d<72;q6=49009m:5rs0;0e?6=:r7:5>o51dg894?613;296s|183;>5<5s4;2=54>ed9>5<7>28<;7p}>93;94?4|583957?je:?2=4>=:h=0q~?63883>7}:909267;296~;618=1=hk4=0;2:10:ih521834>7g03ty:5>650;0x94?403;ni63>90595<35<7128on70?6168225=z{839;7>52z?2=71=9lo01<7>6;0b3>{t909<6=4={<3:72<6ml16=4?9:0;6?xu618?1<7:?0;6?u21805>4cb34;2=84=a69~w4?4>3:1>v3>92495`c<583:97?65:p5<732909w0?61582a`=:90;>6<8?;|q2=73=838p1<7=5;3fa>;618>1>l94}r3:70<72;q6=4=::0gf?87>9=0:585rs0;27?6=:r7:5<=51dg894?6<3;=<6s|1807>5<5s4;2>94>ed9>5<742;k<7p}>92694?4|583887?je:?2=45=90?0q~?60c83>7}:90:i691v<7>c;296~;618i1=hk4=0;3f?4f?2wx=4:m0:ih52182a>4?23ty:5=o50;0x94?7i3;ni63>91`95365<7e28on70?60`81e2=z{839o7>52z?2=7e=9lo01<7?a;3:1>{t9=>?6=4={<3720<6k<16=9:;:0gf?xu6<;81<7u21501>7g034;?:94>c49>512428on7p}>43394?5|58>9=7?je:?2074=9?:01<:94;354>{t9=>96=4<{<3764<5i>16=98<:0a6?873<;0:ih5rs0614?6=;r7:8?>51dg8942593;=<63>471953651472;k<70?;6382g0=:9=>:6e;3fa>;6<;:1=;>4=0656?7182wx=9=i:1808739l09m:521543>4e234;??k4>ed9~w426l3:1?v3>40f95`c<58>:i7?90:?2036=9?:0q~?;3d83>6}:9=;o6?o8;<371c<6k<16=9=j:0gf?xu6<8i1<7=t=062g?7bm27:85<4s4;?=n4=a69>513b28i>70?;3e82a`=z{8>:n7>53z?204d=9lo01<:>c;354>;6<4}r377f<72:q6=9?m:3c4?873=m0:o852151`>4cb3ty:840`9536<58>>h7?90:p515e2908w0?;1`81e2=:9=?h69;297~;6<831=hk4=062e?71827:88m51728yv73;h0;6>u2153:>7g034;?9o4>c49>515f28on7p}>40:94?5|58>:47?je:?204?=9?:01<::b;354>{t9=926=4<{<375=<5i>16=9;n:0a6?873;00:ih5rs0623?6=;r7:8<951dg8942603;=<63>44c953651702;k<70?;5882g0=:9=9366;3fa>;6<8=1=;>4=066=?7182wx=9=8:1808739?09m:52157;>4e234;??:4>ed9~w426=3:1?v3>40795`c<58>::7?90:?200>=9?:0q~?;3783>6}:9=;>6?o8;<3712<6k<16=9=9:0gf?xu6<891<7=t=0627?7bm27:8<;517289422?3;=<6s|1517>5<4s4;?=>4=a69>513228i>70?;3582a`=z{8>:>7>53z?2044=9lo01<:>3;354>;6<4}r3776<72:q6=9?=:3c4?873==0:o8521510>4cb3ty:84009536<58>>87?90:p51552908w0?;1081e2=:9=?860;297~;6<8:1=hk4=0625?71827:88=51728yv73;80;6>u21533>7g034;?9?4>c49>515628on7p}>41d94?5|58>;j7?je:?2046=9?:01<::2;354>{t9=9;6=4<{<374c<5i>16=9;>:0a6?873;90:ih5rs063a?6=;r7:8=k51dg89427n3;=<63>4439536k4?:2y>516b2;k<70?;5182g0=:9=8m6;6<9o1=;>4=0664?7182wx=94e234;?>h4>ed9~w427k3:1?v3>41a95`c<58>;h7?90:?201`=9?:0q~?;2e83>6}:9=:h6?o8;<370`<6k<16=95<4s4;?512c28i>70?;2b82a`=z{8>;m7>53z?205g=9lo01<:?b;354>;6<=n1=;>4}r376g<72:q6=9>n:3c4?8734cb3ty:8?650;1x942503;ni63>41c9536<58>?o7?90:p512f2908w0?;2981e2=:9=u21504>7g034;?:l4>c49>512>28on7p}>43494?5|58>9:7?je:?2071=9?:01<:9a;354>{t9=>36=4<{<3763<5i>16=986:0a6?873<10:ih5rs0611?6=;r7:8?;51dg89425>3;=<63>47;953651422;k<70?;6982g0=:9=><6;6<;?1=;>4=0654e234;?8;4>ed9~w425;3:1?v3>43195`c<58>987?90:?2031=9?:0q~?;4483>6}:9=886?o8;<3723<6k<16=9:::0gf?xu6<8l1<7=t=062b?7bm27:8?=517289421>3;=<6s|1563>5<4s4;?=k4=a69>510628i>70?;4182a`=z{8>:87>53z?2042=9lo01<:>f;354>;64}r3770<72:q6=9?;:3c4?873=?0:o8521516>4cb3ty:8=750;1x942713;ni63>4069536<58>>:7?90:p514>2908w0?;0881e2=:9=>i6?64d134;i984>b79>5g3128h=70?m5682f3=:9k?364d134;i?n4>b79>5g5c28h=70?m3d82f3=:9k9m6:0`5?87e<;0:n;521c60>4d134;i884>b79>5g2128h=70?m4682f3=:9k>364d134;i8h4>b79>5g2a28h=70?m5182f3=:9k?:6::0:n;5rs0:f5?6=;r7:4h?51dg894>b:3;i563>8d195g?5=`528on70?7e081e2=z{83;=7>52z?2=57=9lo01<6j1;3:1>{t91o;6=4={<3;a5<6ml16=5k>:043?xu60o;1<752`58yv7>890;6?u21823>4cb34;3i=4>949~w4>cn3:1>v3>8ed95`c<582n<7?90:p5=`72909w0?7f182a`=:91nm6?o8;|q2;60ml1=4;4}r3;``<72;q6=5jj:0gf?87?lo0::=5rs0:fb?6=:r7:4hh51dg894>cm38j;6s|19df>5<5s4;3jh4>ed9>5=bb283>7p}>8ef94?4|582oh7?je:?27}:91on61v<6id;296~;60on1=hk4=0:g`?7>=2wx=5km:18187?mk0:ih5219fg>4073ty:4ko50;0x94>ai3;ni63>8d`96d15<6>28on70?7ec82=0=z{82nm7>52z?2<`g=9lo01<6jb;354>{t91l26=4={<3;b<<6ml16=5kn:3c4?xu61921<74cb34;3il4>619~w4>a03:1>v3>8g:95`c<582n57=838p1<6j8;3fa>;60l31=;>4}r3;b2<72;q6=5h8:0gf?87?m109m:5rs0;32?6=:r7:5=851dg894>b03;296s|19g4>5<5s4;3i:4>ed9>5=c?28<;7p}>8g494?4|582m:7?je:?2<`1=:h=0q~?60483>7}:90:>67g03ty:5=:50;0x94?7<3;ni63>8d495<35=c228on70?7e78225=z{82m87>52z?2{t90:86=4={<3:46<6ml16=5k::0;6?xu60l>1<74cb34;3i94=a69~w4?7:3:1>v3>91095`c<582n87?65:p5=bd2909w0?7db82a`=:91o?6<8?;|q2<`b=838p1<6jd;3fa>;60mi1>l94}r3;bf<72;q6=5hl:0gf?87?lj0:585rs0:gf?6=:r7:4il51dg894>ck3;=<6s|19g`>5<5s4;3in4>ed9>5=be2;k<7p}>8g`94?4|582mn7?je:?27}:9=i>61=hk4=06`1?7182wx=9o;:1808730=09m:5215a7>4e234;?m94>ed9~w42?;3:1?v3>49195`c<58>387?90:?20f2=9?:0q~?;a283>6}:9=286?o8;<37g6<6k<16=9o<:0gf?xu6<181<7=t=06;6?7bm27:85=51728942d;3;=<6s|15c1>5<4s4;?4?4=a69>51e528i>70?;a382a`=z{8>3<7>53z?20=6=9lo01<:72;354>;64}r37e5<72:q6=96?:3c4?873k90:o85215c3>4cb3ty:8:h50;1x9420n3;ni63>4929536<58>h<7?90:p51?a2908w0?;7g81e2=:9=hm6o1=hk4=064b?71827:8oh51728yv731l0;6>u2155f>7g034;?nh4>c49>51?b28on7p}>46f94?5|58>{t9=3o6=4<{<373a<5i>16=9lk:0a6?8731m0:ih5rs064g?6=;r7:8:m51dg89420l3;=<63>4cf9536511d2;k<70?;bb82g0=:9=3h6;6<>i1=;>4=06ag?7182wx=97m:180873?k09m:5215`a>4e234;?5o4>ed9~w420i3:1?v3>46c95`c<58>6}:9==j6?o8;<37fd<6k<16=97n:0gf?xu6<>31<7=t=064=?7bm27:8:o51728942ei3;=<6s|15;:>5<4s4;?;44=a69>51d>28i>70?;9882a`=z{8><47>53z?202>=9lo01<:89;354>;64}r37==<72:q6=997:3c4?873j10:o85215;;>4cb3ty:8:950;1x9420?3;ni63>46:9536<58>i47?90:p51?02908w0?;7681e2=:9=h<6?1=hk4=0643?71827:8o951728yv731=0;6>u21556>7g034;?n84>c49>51?328on7p}>46694?5|58><87?je:?2023=9?:01<:m5;354>{t9=386=4<{<3731<5i>16=9l;:0a6?8731:0:ih5rs0647?6=;r7:8:=51dg89420<3;=<63>4c6953651142;k<70?;b282g0=:9=396;6<>91=;>4=06a7?7182wx=97>:180873?;09m:5215`1>4e234;?5<4>ed9~w42093:1?v3>46395`c<58><>7?90:?20g4=9?:0q~?;9183>6}:9==:6?o8;<37f4<6k<16=97?:0gf?xu6<>:1<7=t=0644?7bm27:8:?51728942e93;=<6s|15:e>5<4s4;?;=4=a69>51d728i>70?;8g82a`=z{8>=j7>53z?203`=9lo01<:80;354>;64}r37<`<72:q6=98i:3c4?873io0:o85215:f>4cb3ty:8;k50;1x9421m3;ni63>47d9536<58>jj7?90:p51>c2908w0?;6d81e2=:9=kn6u2154g>7g034;?mi4>c49>51>d28on7p}>47a94?5|58>=o7?je:?203b=9?:01<:nd;354>{t9=2i6=4<{<372f<5i>16=9ol:0a6?8730k0:ih5rs06;e?6=;r7:85o51dg89421k3;=<63>4`a953651>f2;k<70?;cc82g0=:9=ki6;6<1k1=;>4=06`f?7182wx=9on:1808730009m:5215ab>4e234;?ml4>ed9~w42?03:1?v3>49:95`c<58>357?90:?20fg=9?:0q~?;a883>6}:9=236?o8;<37g<<6k<16=9o6:0gf?xu6<1=1<7=t=06;3?7bm27:85651728942d13;=<6s|15c;>5<4s4;?4:4=a69>51e?28i>70?;a982a`=z{8>3:7>53z?20=0=9lo01<:77;354>;64}r37e2<72:q6=969:3c4?873k>0:o85215c4>4cb3ty:85;50;1x942?=3;ni63>4949536<58>h;7?90:p51g12908w0?;8481e2=:9=i=6u215:2>7g034;?o<4>c49>51g628on7p}>46494?5|58><:7?je:?20=7=9?:01<:l1;354>{t9=3>6=4<{<3733<5i>16=9l9:0a6?8731?0:ih5rs0:a7?6=>8q6=o7=:0`5?87e1:0:n;521c;7>4d134;i584>b79>5g?128h=70?m9682f3=:9k3364d134;i;h4>b79>5g1a28h=70?m8182f3=:9k2:64d134;i4;4>b79>5g>028h=70?m8982f3=:9k2264d134;i4h4>b79>5g>a28h=70?m9182f3=:9k3:616=o;<:0`4?87e==0:n:521c76>4d034;i9;4>b69>5g3028h<70?m5982f2=:9k?2616=o=n:0`4?87e;k0:n:521c1`>4d>34;i?i4>b69>5g5b28h<70?m3g82f2=:9k>;616=o:=:0`4?87e<:0:n:521c66>4d034;i8;4>b69>5g2028h<70?m4982f2=:9k>2616=o:m:0`4?87e4d034;i8k4>b69>5g3728h<70?m5082f2=:9k?9616=4<<:0`4?873<:0:n5521561>4d134;?8<4>b79>515a28h=70?;3d82f3=:9=9o64d134;??54>b79>515028h=70?;3782f3=:9=9?64d134;?>k4>b79>514b28h=70?;2e82f3=:9=8h64d134;?8:4>b79>515228h<70?7b282a`=:9=>=6e;3;in63>8c695gd<5;:?m7?m8:p5=e42909w0?7c282a`=:91h96?o8;|q2;60k81=4;4}r3;f4<72;q6=5l>:0gf?87?j;0::=5rs0:`6?6=:r7:4n<51dg894>e938j;6s|19f2>5<5s4;3h<4>ed9>5=d6283>7p}>8c294?4|582i<7?je:?27}:91i:61v<6k0;296~;60m:1=hk4=0:a4?7>=2wx=5oi:18187?io0:ih5219`3>4073ty:4n>50;0x94>d83;ni63>8`d96d15=ea28on70?7ag82=0=z{82ji7>52z?2{t91hm6=4={<3;fc<6ml16=5oj:3c4?xu60jo1<74cb34;3mh4>619~w4>dj3:1>v3>8b`95`c<582io7;60ki1=;>4}r3;gd<72;q6=5mn:0gf?87?jk09m:5rs0:g=?6=:r7:4i751dg894>ej3;296s|19`b>5<5s4;3nl4>ed9>5=de28<;7p}>8b;94?4|582h57?je:?27}:91n367g03ty:4i950;0x94>c?3;ni63>8c;95<35=d?28on70?7b88225=z{82h;7>52z?2{t91n=6=4={<3;`3<6ml16=5l7:0;6?xu60k=1<74cb34;3n:4=a69~w4>c=3:1>v3>8e795`c<582i;7?65:p5=d12909w0?7b782a`=:91h<6<8?;|q2;60k<1>l94}r3;`1<72;q6=5j;:0gf?87?j?0:585rs0:a1?6=:r7:4o;51dg894>e>3;=<6s|19a7>5<5s4;3o94>ed9>5=d22;k<7p}>8e194?4|582o?7?je:?27}:91ko691v<6me;296~;60ko1=hk4=0:b`?4f?2wx=5mk:18187?km0:ih5219cg>4?23ty:4lm50;0x94>fk3;ni63>8`f95365=dc28on70?7ab81e2=z{82ho7>52z?2{t9:o86=4={<3747<6k<16=>k<:0gf?xu6;j91<7u212a0>7g034;?<<4>c49>56c528on7p}>3b094?5|589h>7?je:?27f5=9?:01<:?1;354>{t9:o:6=4<{<30g7<5i>16=9>?:0a6?874m80:ih5rs01`5?6=;r7:?n?51dg8945d:3;=<63>412953656e62;k<70?;6;j;1=;>4=01eb?7182wx=>jk:180874jo09m:5212dg>4e234;8hi4>ed9~w45em3:1?v3>3cg95`c<589ij7?90:?27cb=9?:0q~?6}:9:hn6?o8;<30bf<6k<16=>jl:0gf?xu6;kn1<7=t=01a`?7bm27:?ok51728945ak3;=<6s|12fa>5<4s4;8ni4=a69>56`e28i>70?53z?27ge=9lo01<=md;354>;6;oh1=;>4}r30`d<72:q6=>ll:3c4?874nh0:o85212fb>4cb3ty:?ol50;1x945ej3;ni63>3ca9536<589mm7?90:p56b>2908w0?u212`b>7g034;8j54>c49>56b?28on7p}>3c;94?5|589i57?je:?27gg=9?:01<=i8;354>{t9:n<6=4<{<30f<<5i>16=>h8:0a6?874l>0:ih5rs01a3g5953656d?2;k<70?;6;k21=;>4=01e2?7182wx=>j::180874j>09m:5212d6>4e234;8h84>ed9~w45e>3:1?v3>3c495`c<589i;7?90:?27c3=9?:0q~?6}:9:h=6?o8;<30b1<6k<16=>j;:0gf?xu6;k?1<7=t=01a1?7bm27:?o851728945a<3;=<6s|12f0>5<4s4;8n84=a69>56`428i>70?53z?27g2=9lo01<=m5;354>;6;o91=;>4}r30`7<72:q6=>l;:3c4?874n;0:o85212f1>4cb3ty:?o=50;1x945e;3;ni63>3c69536<589m>7?90:p56b62908w0?u212`1>7g034;8j=4>c49>56b728on7p}>3c394?5|589i=7?je:?27g4=9?:01<=i0;354>{t9:im6=4<{<30f4<5i>16=>ki:0a6?874ko0:ih5rs01a4?6=;r7:?o>51dg8945e93;=<63>3dd953656d72;k<70?;6;k:1=;>4=01fa?7182wx=>mk:180874io09m:5212gg>4e234;8oi4>ed9~w45fm3:1?v3>3`g95`c<589jj7?90:?27`b=9?:0q~?6}:9:kn6?o8;<30af<6k<16=>ml:0gf?xu6;hn1<7=t=01b`?7bm27:?lk51728945bk3;=<6s|12aa>5<4s4;8mi4=a69>56ce28i>70?53z?27de=9lo01<=nd;354>;6;lh1=;>4}r30gd<72:q6=>ol:3c4?874mh0:o85212ab>4cb3ty:?n750;1x945d13;ni63>3`a9536<589nm7?90:p56c>2908w0?u212a;>7g034;?<:4>c49>56c?28on7p}>3b594?5|589h;7?je:?27f>=9?:01<:?7;354>{t9:o<6=4<{<30g2<5i>16=9>9:0a6?874m>0:ih5rs01`2?6=;r7:?n851dg8945d?3;=<63>414953656e12;k<70?;0482g0=:9:o=6;6;j<1=;>4=0631?7182wx=>k::180874k<09m:521527>4e234;8i84>ed9~w45d<3:1?v3>3b695`c<589h97?90:?2052=9?:0q~?6}:9:i?6?o8;<3746<6k<16=>k;:0gf?xu6;j:1<7=t=01`4?7bm27:?n:517289427;3;=<6s|12ff>5<4s4;8o=4=a69>56`b28i>70?51dy>51g328h=70?;a282f3=:9=k964d134;?5n4>b79>51?e28h=70?;9`82f3=:9=3264d134;?5?4>b79>51?628h=70?;9182f3=:9=2m64d134;?mo4>b79>51gf28h=70?;a882f3=:9=k360:n;5rs0:;7?6=:4d>34;i;>4>b89>5g1328h270?m7482f<=:9k==64d>34;i9n4>b89>5g3c28h270?m5d82f<=:9k?m6:0`:?87e>;0:n4521c40>4d>34;i:94>b89>5g0228h270?m6782f<=:9k<36j0:n4521c4g>4d>34;i:h4>b89>5g0a28h270?m7182f<=:9k=:6k0:no5219:6>4df34;i::4>b89>652d28h<7p}>88694?4|582287?je:?2<=5=:h=0q~?7a283>7}:91k867g03ty:4l<50;0x94>f:3;ni63>89095<35=>628on70?7838225=z{822>7>52z?2<<4=9lo01<671;0b3>{t91k:6=4={<3;e4<6ml16=56>:0;6?xu601:1<74cb34;34=4=a69~w4>f83:1>v3>8`295`c<5823<7?65:p5=1a2909w0?77g82a`=:912;6<8?;|q2<<6=838p1<660;3fa>;60>l1>l94}r3;=c<72;q6=57i:0gf?87??o0:585rs0:;`?6=:r7:45j51dg894>0n3;=<6s|19;`>5<5s4;35n4>ed9>5=>c2;k<7p}>8``94?4|582jn7?je:?2<=b=90?0q~?78b83>7}:912h691v<66b;296~;600h1=hk4=0:;g?4f?2wx=5on:18187?ih0:ih5219:`>4?23ty:45l50;0x94>?j3;ni63>89a95365=?f28on70?78c81e2=z{82j57>52z?2{t912j6=4={<3;4cb34;34l4>949~w4>?13:1>v3>89;95`c<5823m7?90:p5=??2909w0?79982a`=:91226?o8;|q2;60131=4;4}r3;<=<72;q6=567:0gf?87?000::=5rs0::3?6=:r7:44951dg894>?038j;6s|19c5>5<5s4;3m;4>ed9>5=>?283>7p}>89594?4|5823;7?je:?2<=>=9?:0q~?79783>7}:913=61v<6n5;296~;60h?1=hk4=0:;3?7>=2wx=569:18187?0?0:ih5219:4>4073ty:44;50;0x94>>=3;ni63>89496d15=g328on70?78782=0=z{8252z?2<2c=9lo01<676;354>{t912m6=4={<3;4cb34;3;h4>619~w4>?m3:1>v3>89g95`c<582;6;1<1=hk4}r302=<72;q6=>87:0gf?874i<0::=5rs01;1?6=;r7:?;652`58945f<3;h963>39795`c560028on70?<698225=:9:k?6<8?;|q27=2=839p1<=97;0b3>;6;h91=n;4=01;0?7bm2wx=>89:180874>?0:ih521244>40734;8m>4>619~w45?;3:1?v3>37496d1<589j>7?l5:?27=5=9lo0q~?<6483>6}:9:<>6916=>o=:043?xu6;181<7=t=0151?4f?27:?l?51b78945?:3;ni6s|1247>5<4s4;8:94>ed9>560228<;70?53z?2732=:h=01<=n0;3`1>;6;1;1=hk4}r3026<72:q6=>8<:0gf?874>=0::=5212c3>4073ty:?5>50;1x9451;38j;63>38d95f3<5893<7?je:p56052908w0?<6382a`=:9:<86<8?;<30=c<6>91v<=8f;297~;6;?81>l94=01:a?7d=27:?:h51dg8yv74>80;6>u21242>4cb34;8:?4>619>56?b28<;7p}>36g94?5|589==7{t9:<;6=4<{<3025<6ml16=>8>:043?8741m0::=5rs014`?6=;r7:?;>52`58945>k3;h963>36f95`c563a28on70?<618225=:9:3h6<8?;|q272e=839p1<=:f;0b3>;6;0h1=n;4=014g?7bm2wx=>;j:180874=l0:ih52127e>40734;85o4>619~w450j3:1?v3>34g96d1<5892m7?l5:?272d=9lo0q~?<5e83>6}:9:?o6916=>7n:043?xu6;>k1<7=t=016`?4f?27:?4751b789450i3;ni6s|127`>5<4s4;89n4>ed9>563c28<;70?<988225=z{89<57>53z?270e=:h=01<=68;3`1>;6;>31=hk4}r301g<72:q6=>;m:0gf?874=j0::=5212;;>4073ty:?:650;1x9452j38j;63>38595f3<589<47?je:p563f2908w0?<5`82a`=:9:?i6<8?;<30=2<6>91v<=87;297~;6;l94=01:2?7d=27:?:951dg8yv74=00;6>u2127:>4cb34;89l4>619>56?128<;7p}>36494?5|589>57{t9:?36=4<{<301=<6ml16=>;6:043?8741<0::=5rs0141?6=;r7:?8652`58945><3;h963>36795`c563028on70?<598225=:9:3?6<8?;|q2722=839p1<=:7;0b3>;6;091=n;4=0140?7bm2wx=>;9:180874=?0:ih521274>40734;85>4>619~w450;3:1?v3>34496d1<5892>7?l5:?2725=9lo0q~?<5483>6}:9:?>6916=>7=:043?xu6;>81<7=t=0161?4f?27:?4?51b789450:3;ni6s|1277>5<4s4;8994>ed9>563228<;70?<908225=z{89<=7>53z?2702=:h=01<=60;3`1>;6;>;1=hk4}r3016<72:q6=>;<:0gf?874==0::=5212;3>4073ty:?:>50;1x9452;38j;63>39d95f3<589<<7?je:p56352908w0?<5382a`=:9:?86<8?;<3091v<=9f;297~;6;<81>l94=01;a?7d=27:?;h51dg8yv74>l0;6>u2124f>4cb34;89?4>619>56>b28<;7p}>39f94?5|589=i7{t9:8j:043?874ik0::=5rs01;g?6=;r7:?;j52`58945fi3;h963>39a95`c560d28on70?<6e8225=:9:kj6<8?;|q27=d=839p1<=9c;0b3>;6;h31=n;4=01;f?7bm2wx=>8m:180874>k0:ih52124`>40734;8m44>619~w45?i3:1?v3>37`96d1<589j47?l5:?27=g=9lo0q~?<6`83>6}:9:916=>o7:043?xu6;131<7=t=015e?4f?27:?l951b78945?13;ni6s|124:>5<4s4;8:44>ed9>560f28<;70?53z?273?=:h=01<=n6;3`1>;6;121=hk4}r3;11<72<;p1<6:4;3fa>;6io:1=o84=0cgf?7e>27:mh851c4894ga93;i:63>ag095g0<58km?7?m6:?2ec3=9k<01;6imi1=o84=0cga?7e>27:mh>51c4894gb:3;i:63>ad695g0<58kn;7?m6:?2e`?=9k<01;6ill1=o94=327a?7e027:mk:51c4894ga>3;i:63>aec95g0<58koh7?m6:?2ea`=9k<01;6il91=o84=0cf1?7e>27:mh651c4894gbi3;i:63>ada95g0<58kni7?m6:?20d2=9k=01<:n3;3a3>;6l3;i;63>48`95g1<58>257?m7:?20<1=9k=01<:63;3a3>;6<0;1=o94=06;b?7e?27:85j51c58942?j3;i;63>4`c95g1<58>j47?m7:?20d0=9k201<=j3;3a2>;6;l81=o84=01f5?7e>27:?h>51c48945cl3;i:63>3ea95g0<589on7?m6:?27ag=9k<01<=k9;3a2>;6;m21=o84=01g3?7e>27:?i851c48945c=3;i:63>3e695g0<589o?7?m6:?27a4=9k<01<=k1;3a2>;6;m:1=o84=01`b?7e>27:?nk51c48945dl3;i:63>3ba95g0<589hn7?m6:?27fg=9k<01<=j9;3a2>;6;l21=o84=01f3?7e>27:?h;51c48945b<3;i;63>adf95g0<589n:7?m6:?2<=3=9k<01<6:5;3a1>{t91<>6=4<{<3;11<5i>16=58::0gf?873i<0:no5rs0:67?6=:r7:48=51dg894>2<3;=<6s|1947>5<5s4;3:94>ed9>5=342;k<7p}>86694?4|582<87?je:?2<05=90?0q~?75383>7}:91?9691v<693;296~;60?91=hk4=0:66?4f?2wx=59<:18187??:0:ih521971>4?23ty:48?50;0x94>293;ni63>84095365=0528on70?75081e2=z{82<>7>52z?2<24=9lo01<6:1;3:1>{t91?;6=4={<3;15<6ml16=5;>:043?xu60?;1<752`58yv7??80;6?u21952>4cb34;39=4>949~w4>3n3:1>v3>85d95`c<582><7?90:p5=072909w0?76182a`=:91>m6?o8;|q2<26=838p1<680;3fa>;60=l1=4;4}r3;1a<72;q6=5;k:0gf?87?2l38j;6s|195`>5<5s4;3;n4>ed9>5=3c283>7p}>84a94?4|582>o7?je:?2<0b=9?:0q~?76b83>7}:911v<68b;296~;60>h1=hk4=0:6g?7>=2wx=5;m:18187?=k0:ih52197`>4073ty:4;l50;0x94>1j3;ni63>84`96d15=1f28on70?75c82=0=z{82>m7>52z?2<0g=9lo01<6:b;354>{t9131<74cb34;39l4>619~w4>113:1>v3>87;95`c<582>57=838p1<6:8;3fa>;60<31=;>4}r3;2=<72;q6=587:0gf?87?=109m:5rs0:43?6=:r7:4:951dg894>203;296s|1974>5<5s4;39:4>ed9>5=3?28<;7p}>87594?4|582=;7?je:?2<01=:h=0q~?77783>7}:91==6?0:ih521975>7g03ty:4:;50;0x94>0=3;ni63>84495<35=2b28on70?7578225=z{82>j7>52z?2<0`=9lo01<6;e;0b3>{t914cb34;38i4=a69~w4>1m3:1>v3>87g95`c<582?h7?65:p564b2909w0?<4b82g0=:9:8n61;3fa>;6;=i1=;>4}r306a<72:q6=>?>:3c4?8744cb3ty:?<>50;1x945683;ni63>3039536<589?n7?90:p564d2908w0?<1181e2=:9:>j6u2122e>7g034;8844>c49>564e28on7p}>31g94?5|589;i7?je:?275`=9?:01<=;9;354>{t9:8j6=4<{<304`<5i>16=>:7:0a6?874:h0:ih5rs013`?6=;r7:?=j51dg89457m3;=<63>35:953644?:2y>566c2;k<70?<4682g0=:9:826;6;9n1=;>4=0173?7182wx=><7:1808748j09m:521265>4e234;8>54>ed9~w457j3:1?v3>31`95`c<589;o7?90:?2710=9?:0q~?<2683>6}:9::i6?o8;<3000<6k<16=><8:0gf?xu6;9k1<7=t=013e?7bm27:?=l517289453=3;=<6s|1205>5<4s4;8562328i>70?<2782a`=z{89;57>53z?275?=9lo01<=?a;354>;6;=>1=;>4}r3060<72:q6=>>6:3c4?874<:0:o8521206>4cb3ty:?=650;1x945703;ni63>31;9536<589??7?90:p56432908w0?<0981e2=:9:>96u21224>7g034;88<4>c49>564428on7p}>31494?5|589;:7?je:?2751=9?:01<=;1;354>{t9:896=4<{<3043<5i>16=>:?:0a6?874:;0:ih5rs0131?6=;r7:?=;51dg89457>3;=<63>3529536<4?:2y>56622;k<70?<3g82g0=:9:8:6;6;9?1=;>4=010b?7182wx=>4e234;8>=4>ed9~w457;3:1?v3>31195`c<589;87?90:?276c=9?:0q~?<1g83>6}:9::86?o8;<307a<6k<16=>?i:0gf?xu6;981<7=t=0136?7bm27:?==517289454l3;=<6s|123f>5<4s4;8565d28i>70?<1d82a`=z{89;=7>53z?2757=9lo01<=?2;354>;6;:i1=;>4}r305a<72:q6=>>>:3c4?874;k0:o852123g>4cb3ty:?=>50;1x945783;ni63>3139536<5898n7?90:p567d2908w0?<0181e2=:9:9j6o51728yv749k0;6>u213de>7g034;8?44>c49>567e28on7p}>2gg94?5|588mi7?je:?26c`=9?:01<=<9;354>{t9:;j6=4<{<31b`<5i>16=>=7:0a6?8749h0:ih5rs00e`?6=;r7:>kj51dg8944am3;=<63>32:953657`c2;k<70?<3682g0=:9:;26;6:on1=;>4=0103?7182wx=>?7:180875nj09m:521215>4e234;8=54>ed9~w44aj3:1?v3>2g`95`c<588mo7?90:?2760=9?:0q~?<1683>6}:9;li6?o8;<3070<6k<16=>?8:0gf?xu6;8<1<7=t=0122?7bm27:>kl517289454=3;=<6s|1217>5<4s4;8=;4=a69>563628i>70?<3582a`=z{89:97>53z?2743=9lo01<=>6;354>;6;<;1=;>4}r3076<72:q6=>?::3c4?874=90:o8521210>4cb3ty:?<:50;1x9456<3;ni63>3079536<589><7?90:p56552908w0?<1581e2=:9:>m63;297~;6;891=hk4=0120?71827:?9h51728yv74;80;6>u21230>7g034;88h4>c49>565628on7p}>30094?5|589:>7?je:?2745=9?:01<=;e;354>{t9:8m6=4<{<3057<5i>16=>:k:0a6?874;90:ih5rs0:13?6==4d134;jo=4>b79>5de528h=70?nc582f3=:9hi=64d0348;9=4>b99>5=4028on70?nd382f3=:9hhn64d134;jh:4>b79>5db>28h=70?nbg82f3=:9hi:60:n;521`ab>4d134;jon4>b79>5deb28h=70?nd182f3=:9hl?616=ljn:0`4?87flm0:n:521`fe>4d034;ji<4>b69>5dc428h<70?ne482f2=:9ho3616=lkl:0`4?87fml0:n45212fa>4d034;8h44>b69>56b028h<70?16=>mi:0`4?874km0:n:5212aa>4d034;8i44>b69>56c028h<70?6;:0`5?8740:0:n;5212:1>4d134;84<4>b79>56>728h=70?<7g82f3=:9:=n69l:0`5?874?k0:n;52125b>4d134;8;44>b79>561?28h=70?<7682f3=:9:==69;:0`5?874?:0:n;521251>4d134;8;<4>b79>561728h=70?<6g82f3=:9:2o66n:0`5?874000:n:521`ae>4d134;jii4>b69>56>e28h=7p}>83494?5|5829:7?je:?2<71=9kh01<6=8;3a<>{t919=6=4={<3;73<6ml16=5<9:3c4?xu60=>1<74cb34;3>;4>619~w4>4=3:1>v3>82795`c<5829976<7:;|q2<72=838p1<6=4;3fa>;60;?1=;>4}r3;71<72;q6=5=;:0gf?87?:=09m:5rs0:76?6=:r7:49<51dg894>5<3;296s|1900>5<5s4;3>>4>ed9>5=4328<;7p}>82194?4|5828?7?je:?2<75=:h=0q~?74083>7}:91>:67g03ty:49m50;0x94>3k3;ni63>82295<3k4?:3y>5=4a28on70?7318225=z{828h7>52z?2<6b=9lo01<6=f;0b3>{t91>i6=4={<3;0g<6ml16=54cb34;3>h4=a69~w4>3i3:1>v3>85c95`c<5829i7?65:p5=4c2909w0?72e82a`=:918n6<8?;|q2<6d=838p1<6;60;n1>l94}r3;0<<72;q6=5:6:0gf?87?:m0:585rs0:1g?6=:r7:4?m51dg894>5l3;=<6s|191b>5<5s4;3?l4>ed9>5=4d2;k<7p}>85:94?4|582?47?je:?2<7e=90?0q~?72c83>7}:918i691v<6<9;296~;60:31=hk4=0:1f?4f?2wx=5:8:18187?<>0:ih52190a>4?23ty:4?o50;0x94>5i3;ni63>83`95365=5?28on70?72`81e2=z{82?:7>52z?2<10=9lo01<6=a;3:1>{t91826=4={<3;6<<6ml16=54cb34;3>44>949~w4>5:3:1>v3>83095`c<582957?90:p5=552909w0?73382a`=:91896?o8;|q2<16=838p1<6;0;3fa>;60;81=4;4}r3;64<72;q6=5<>:0gf?87?:;0::=5rs0:05?6=:r7:4>?51dg894>5938j;6s|191e>5<5s4;3?k4>ed9>5=46283>7p}>2e`94?4|588m:7?l5:?26ad=9lo0q~?=c183>7}:9;i;691v<l94=00e1?7d=27:>io51dg8yv75jo0;6>u213`e>4cb34;9o=4>619>57`228<;7p}>2e;94?5|588ij7{t9;hn6=4<{<31f`<6ml16=?li:043?875n=0::=5rs00gok52`58944a;3;h963>2e:95`c57dc28on70?=bd8225=:9;l86<8?;|q26a1=839p1<;6:o81=n;4=00g3?7bm2wx=?ll:180875jj0:ih5213`g>40734;9j?4>619~w44c>3:1?v3>2ca96d1<588m=7?l5:?26a0=9lo0q~?=bc83>6}:9;hi6916=?h>:043?xu6:m?1<7=t=00af?4f?27:>k>51b78944c=3;ni6s|13`b>5<4s4;9nl4>ed9>57de28<;70?=f18225=z{88o87>53z?26gg=:h=01<;6:m>1=hk4}r31f<<72:q6=?l6:0gf?875jh0::=5213ge>4073ty:>i=50;1x944e138j;63>2dg95f3<588o?7?je:p57d?2908w0?=b982a`=:9;h26<8?;<31a`<6>91v<l94=00f`?7d=27:>i<51dg8yv75j>0;6>u213`4>4cb34;9n54>619>57cc28<;7p}>2e394?5|588i;7{t9;h=6=4<{<31f3<6ml16=?l8:043?875mj0::=5rs00g4?6=;r7:>o852`58944bj3;h963>2e295`c57d228on70?=b78225=:9;oi6<8?;|q26f`=839p1<;6:lk1=n;4=00`b?7bm2wx=?l;:180875j=0:ih5213`6>40734;9il4>619~w44dm3:1?v3>2c696d1<588n57?l5:?26fc=9lo0q~?=b283>6}:9;h86916=?k6:043?xu6:jn1<7=t=00a7?4f?27:>h651b78944dl3;ni6s|13`1>5<4s4;9n?4>ed9>57d428<;70?=e98225=z{88ho7>53z?26g4=:h=01<;6:ji1=hk4}r31f4<72:q6=?l>:0gf?875j;0::=5213g4>4073ty:>nl50;1x944e938j;63>2d495f3<588hn7?je:p57d72908w0?=b182a`=:9;h:6<8?;<31a3<6>91v<l94=00f1?7d=27:>no51dg8yv75io0;6>u213ce>4cb34;9n=4>619>57c228<;7p}>2b;94?5|588jj7{t9;kn6=4<{<31e`<6ml16=?oi:043?875m=0::=5rs00`lk52`58944b;3;h963>2b:95`c57gc28on70?=ad8225=:9;o86<8?;|q26f1=839p1<;6:l81=n;4=00`3?7bm2wx=?ol:180875ij0:ih5213cg>40734;9i?4>619~w44d>3:1?v3>2`a96d1<588n=7?l5:?26f0=9lo0q~?=ac83>6}:9;ki6916=?k>:043?xu6:j?1<7=t=00bf?4f?27:>h>51b78944d=3;ni6s|13cb>5<4s4;9ml4>ed9>57ge28<;70?=e18225=z{88h87>53z?26dg=:h=01<;6:j>1=hk4}r31g6<72:q6=?m<:0gf?875ih0::=5213fe>4073ty:>ik50;1x944d;38j;63>2gc95f3<588oi7?je:p57e52908w0?=c382a`=:9;i86<8?;<31bd<6>91v<l94=00e=?7d=27:>ij51dg8yv75k80;6>u213a2>4cb34;9o?4>619>57`>28<;7p}>2ea94?5|588h=7=9j?01<{t9>lj6=4:fz?23cg=9lo01;6ih81=o84=0ca1?7e>27:mo851c4894ge?3;i:63>ac:95g0<58kim7?m6:?2ege=9k<01;6ih?1=o84=0cb3?7e>27:ml751c4894gfj3;i:63>a`f95g0<58kjj7?m6:?2eg5=9k<01?>:2;3a<>;6ik31=o84=0caf?7e>27:ml?51c4894gf<3;i:63>a`495g0<58kj47?m6:?2edg=9k<01;6iho1=o84=0ca4?7e>27:mo<51c4894gc:3;i;63>acg95g1<58kh57?m7:?2ea5=9k=01;6im?1=o94=0cg3?7e?27:mi751c5894gen3;i;63>ab395g1<58kh?7?m7:?2ef3=9k=01;6ijk1=o94=0c`g?7e?27:mnk51c5894gc83;i463>39495g1<589397?m7:?27=2=9k=01<=73;3a3>;6;181=o94=01;5?7e?27:?:h51c589450l3;i;63>36`95g1<589<57?m7:?2721=9k=01<=85;3a3>;6;>91=o94=0145?7e?27:?;h51c58945?k3;i;63>39c95g1<5899i7?m6:?277b=9k<01<==c;3a2>;6;;h1=o84=011e?7e>27:??751c48945503;i:63>33595g0<5899:7?m6:?2773=9k<01<==4;3a2>;6;;91=o84=0116?7e>27:???51c48945583;i:63>30d95g0<589:i7?m6:?274b=9k<01<=>c;3a2>;6;8h1=o84=012e?7e>27:?<751c48945603;i:63>30595g0<589887?m6:?2764=9k<01<=<1;3a2>;6ik;1=o84=0c`b?7e?27:mhj51c:89454;3;i:63>7g`95g352`f2;k<70?70`82a`=z{8=m57>52z?23c?=9lo01<9ia;354>{t91:26=4={<3;4<<6ml16=:h6:3c4?xu60821<74cb34;619~w4>703:1>v3>81:95`c<58=m47l36<7:;|q23c1=838p1<9i7;3fa>;6?o21=;>4}r3;42<72;q6=5>8:0gf?870n>09m:5rs0:22?6=:r7:4<851dg8941a?3;296s|16d5>5<5s4;ed9>52`028<;7p}>81494?4|582;:7?je:?23c0=:h=0q~?71483>7}:91;>67g03ty:4?>50;0x94>583;ni63>81195<35=6528on70?7028225=z{82:=7>52z?2<47=9lo01<6?2;0b3>{t91;m6=4={<3;5c<6ml16=5>=:0;6?xu609;1<74cb34;3<<4=a69~w4>6m3:1>v3>80g95`c<582;=7?65:p5=672909w0?70182a`=:91::6<8?;|q2<5`=838p1<6?f;3fa>;609:1>l94}r3;5a<72;q6=5?k:0gf?87?890:585rs05eb?6=:r7:;kh51dg894>783;=<6s|192f>5<5s4;3

ed9>52`a2;k<7p}>80a94?4|582:o7?je:?23c`=90?0q~?8fd83>7}:9>ln691v<6?d;296~;609n1=hk4=05ea?4f?2wx=5?m:18187?9k0:ih5216df>4?23ty:;kj50;0x941al3;ni63>7gg95365=6d28on70?8fe81e2=z{82:m7>52z?2<4g=9lo01<9id;3:1>{t9>lh6=4={<34bf<6ml16=:hk:043?xu609h1<74cb34;949~w41a=3:1>v3>7g795`c<58=mo7?90:p5=622909w0?70482a`=:9>l>6?o8;|q2<42=838p1<6>4;3fa>;6?o?1=4;4}r34b1<72;q6=:h;:0gf?870n<0::=5rs0:30?6=:r7:4=:51dg8941a<38j;6s|1930>5<5s4;3=>4>ed9>52`3283>7p}>29c94?4|588j:7?l5:?26=g=9lo0q~?=6g83>7}:9;91v<<79;297~;6:?l1>l94=00b1?7d=27:>5751dg8yv75>l0;6>u2134f>4cb34;9:k4>619>57g228<;7p}>29:94?5|588=i7{t9;;j52`58944f;3;h963>29595`c570d28on70?=6e8225=:9;k86<8?;|q26=0=839p1<<9c;0b3>;6:h81=n;4=00;2?7bm2wx=?8m:180875>k0:ih52134`>40734;9m?4>619~w44?=3:1?v3>27`96d1<588j=7?l5:?26=3=9lo0q~?=6`83>6}:9;916=?o>:043?xu6:1>1<7=t=005e?4f?27:>l>51b78944?<3;ni6s|134:>5<4s4;9:44>ed9>570f28<;70?=a18225=z{883?7>53z?263?=:h=01<<6f;3`1>;6:191=hk4}r312=<72:q6=?87:0gf?875>00::=5213;e>4073ty:>5<50;1x9441038j;63>28g95f3<5883>7?je:p57002908w0?=6682a`=:9;<36<8?;<31=`<6>91v<<71;297~;6:?=1>l94=00:`?7d=27:>5?51dg8yv75>?0;6>u21345>4cb34;9::4>619>57?c28<;7p}>29294?5|588=:7{t9;<>6=4<{<3120<6ml16=?89:043?8751j0::=5rs004b?6=;r7:>;;52`58944>j3;h963>26d95`c570328on70?=648225=:9;3i6<8?;|q262c=839p1<<94;0b3>;6:0k1=n;4=004a?7bm2wx=?8<:180875>:0:ih521347>40734;95l4>619~w440l3:1?v3>27196d1<588257?l5:?262b=9lo0q~?=6383>6}:9;<96916=?76:043?xu6:>i1<7=t=0056?4f?27:>4651b789440k3;ni6s|1342>5<4s4;9:<4>ed9>570528<;70?=998225=z{8853z?2637=:h=01<<67;3`1>;6:>h1=hk4}r3125<72:q6=?8?:0gf?875>80::=5213;4>4073ty:>:o50;1x9441838j;63>28495f3<58891v<<89;297~;6:l94=00:1?7d=27:>:751dg8yv75=l0;6>u2137f>4cb34;99k4>619>57?228<;7p}>26:94?5|588>i7{t9;?o6=4<{<311a<6ml16=?;j:043?8751=0::=5rs0043?6=;r7:>8j52`58944>;3;h963>26595`c573d28on70?=5e8225=:9;386<8?;|q2620=839p1<<:c;0b3>;6:081=n;4=0042?7bm2wx=?;m:180875=k0:ih52137`>40734;95?4>619~w440=3:1?v3>24`96d1<5882=7?l5:?2623=9lo0q~?=5`83>6}:9;?j6916=?7>:043?xu6:>>1<7=t=006e?4f?27:>4>51b789440<3;ni6s|137:>5<4s4;9944>ed9>573f28<;70?=918225=z{8853z?260?=:h=01<<7f;3`1>;6:>91=hk4}r3137<72:q6=?9=:0gf?875=00::=5213:e>4073ty:>5k50;1x9440:38j;63>2`;95f3<5883i7?je:p57162908w0?=7082a`=:9;=96<8?;<31e<<6>91v<<7d;297~;6:>;1>l94=00b5j51dg8yv75?90;6>u21353>4cb34;9;<4>619>57g?28<;7p}>29`94?5|588<<7{t9>io6=4:1z?23fb=9lo01;6i0l1=o84=0c;2?7e>27:m5751c4894g?j3;i:63>a9f95g0<58k3j7?m6:?2e<7=9k<01;6i0=1=o94=3260?7e027:m4651c4894g??3;i:63>a8;95g0<58k2m7?m6:?2e;6i0o1=o84=0cb4?7e>27:m5651c4894g?i3;i:63>a9a95g0<58k3i7?m6:?2e<6=9k<01;6i0>1=o84=0c:2?7e>27:mo751c5894gej3;i;63>a`395g1<58kj87?m7:?2ed0=9k=01;6ihk1=o94=0cbg?7e?27:mlk51c5894ge83;i;63>ac095g?<589947?m7:?2770=9k=01<==4;3a3>;6;;81=o94=0114?7e?27:?30c95g1<589:47?m7:?2762=9k=01<=<2;3a<>;6:mh1=o84=00ge?7e>27:>i751c48944c03;i:63>2e595g0<588o:7?m6:?26a3=9k<01<;6:m91=o84=00g6?7e>27:>i?51c48944c83;i:63>2bd95g0<588hi7?m6:?26fb=9k<01<;6:jh1=o84=00`e?7e>27:>n751c48944d03;i:63>2b595g0<588h:7?m6:?26f3=9k<01<;6:mn1=o84=00gg?7e?27:m4;51c4894ge93;i;63>abd95g?<588oi7?m6:?23fc=9k?0q~?8de83>7}:9>io6?o8;<34`a<6ml1v<9lc;296~;6?ji1=hk4=05``?7182wx=:jl:181870lj0:ih5216a`>7g03ty:;hl50;0x941bj3;ni63>7ba95<352ee28on70?8cb8225=z{8=on7>52z?23ad=9lo01<9lb;0b3>{t9>oj6=4={<34ad<6ml16=:mm:0;6?xu6?jk1<74cb34;v3>7d;95`c<58=hm7?65:p52e>2909w0?8c882a`=:9>ij6<8?;|q23a?=838p1<9k9;3fa>;6?j31>l94}r34a=<72;q6=:k7:0gf?870k00:585rs05g2?6=:r7:;i851dg8941d13;=<6s|16g6>5<5s4;ed9>52b12;k<7p}>7g194?4|58=m?7?je:?23a0=90?0q~?8d483>7}:9>n>691v<9j4;296~;6?l>1=hk4=05g1?4f?2wx=:h=:181870n;0:ih5216f6>4?23ty:;i:50;0x941c<3;ni63>7e795364?:3y>52c428on70?8d581e2=z{8=m=7>52z?23c7=9lo01<9k4;3:1>{t9>n86=4={<34`6<6ml16=:j;:043?xu6?l81<74cb34;4>949~w41c:3:1>v3>7e095`c<58=o?7?90:p52c62909w0?8e082a`=:9>n96?o8;|q23``=838p1<9jf;3fa>;6?m81=4;4}r34`4<72;q6=:j>:0gf?870l;0::=5rs05f4?6=:r7:;h>51dg8941c938j;6s|16gf>5<5s4;ed9>52b6283>7p}>7e294?4|58=o<7?je:?23a7=9?:0q~?8dg83>7}:9>nm61v<9jd;296~;6?ln1=hk4=05g4?7>=2wx=:mi:181870ko0:ih5216f3>4073ty:;ik50;0x941cm3;ni63>7bd96d152cd28on70?8cg82=0=z{8=h47>52z?23f>=9lo01<9lf;354>{t9>n36=4={<34`=<6ml16=:m7:3c4?xu6?l=1<70;6?u216a4>4cb34;619~w41c?3:1>v3>7e595`c<58=h;7i<6<7:;|q266d=838p1<<:6;3`1>;6::h1=hk4}r3164<72;q6=?<>:0gf?875=?0::=5rs000e?6=;r7:>??52`589442=3;h963>22c95`c=4?:2y>574728on70?=208225=:9;?>6<8?;|q266?=839p1<<=0;0b3>;6:<>1=n;4=000=?7bm2wx=??i:1808759o0:ih521303>40734;9994>619~w44403:1?v3>20d96d1<588>?7?l5:?266>=9lo0q~?=1d83>6}:9;;n6916=?;<:043?xu6::=1<7=t=002a?4f?27:>8<51b789444?3;ni6s|133g>5<4s4;9=i4>ed9>577b28<;70?=538225=z{888:7>53z?264b=:h=01<<:1;3`1>;6::<1=hk4}r315f<72:q6=??l:0gf?8759m0::=521372>4073ty:>>;50;1x9446k38j;63>24295f3<588897?je:p577e2908w0?=1c82a`=:9;;h6<8?;<3115<6>91v<<<4;297~;6:8h1>l94=007b?7d=27:>>:51dg8yv759h0;6>u2133b>4cb34;9=o4>619>572a28<;7p}>22194?5|588:m7{t9;;26=4<{<315<<6ml16=??n:043?875<752`589443l3;h963>22095`c577?28on70?=188225=:9;>o6<8?;|q2667=839p1<<>8;0b3>;6:=i1=n;4=0005?7bm2wx=??8:1808759>0:ih52133;>40734;98n4>619~w44483:1?v3>20596d1<588?n7?l5:?2666=9lo0q~?=1783>6}:9;;=6916=?:m:043?xu6:;l1<7=t=0022?4f?27:>9o51b789445n3;ni6s|1336>5<4s4;9=84>ed9>577128<;70?=4`8225=z{889i7>53z?2643=:h=01<<;9;3`1>;6:;o1=hk4}r3151<72:q6=??;:0gf?8759<0::=52136:>4073ty:>?j50;1x9446<38j;63>25:95f3<5889h7?je:p57742908w0?=1282a`=:9;;?6<8?;<310=<6>91v<<=c;297~;6:891>l94=0073?7d=27:>?m51dg8yv759;0;6>u21331>4cb34;9=>4>619>572028<;7p}>23`94?5|588:>7{t9;;:6=4<{<3154<6ml16=??=:043?87523c95`c577728on70?=108225=:9;>>6<8?;|q267?=839p1<<>0;0b3>;6:=>1=n;4=001=?7bm2wx=?>i:1808758o0:ih521333>40734;9894>619~w44503:1?v3>21d96d1<588??7?l5:?267>=9lo0q~?=0d83>6}:9;:n6916=?:<:043?xu6:;=1<7=t=003a?4f?27:>9<51b789445?3;ni6s|132g>5<4s4;9ed9>576b28<;70?=438225=z{889:7>53z?265b=:h=01<<;1;3`1>;6:;<1=hk4}r314f<72:q6=?>l:0gf?8758m0::=521362>4073ty:>?;50;1x9447k38j;63>25295f3<588997?je:p576e2908w0?=0c82a`=:9;:h6<8?;<3105<6>91v<<=4;297~;6:9h1>l94=000b?7d=27:>?:51dg8yv75::0;6>u21300>4cb34;9619>575a28<;7p}>22g94?5|5889?7=9j?01<<{t9;896=4<{<3167<6ml16=?<<:043?875=10::=5rs000g?6=;r7:>?<52`589442?3;h963>22f95`ca6f95g0<58k=h7?m6:?2e2c=9k<01;6i1:1=o84=0c;5?7e>27:m5=51c4894g?=3;i:63>a7g95g0<58k<<7?m6:?2e24=9k<01;6i><1=o84=0c427:m:m51c589762>3;i463>a9095g0<58k387?m6:?2e3e=9k<01;6i>;1=o84=0c47?7e>27:m:;51c4894g0?3;i:63>a6;95g0<58k=9k=01;6i031=o94=0c:e?7e?27:m4l51c5894g>k3;i;63>a8g95g1<58kj<7?m7:?2e=>=9k=01;6i1i1=o94=0c;a?7e?27:m4>51c5894g>:3;i;63>a8695g1<58k2:7?m9:?26ad=9k=01<;6:m31=o94=00gi951c58944c>3;i;63>2e695g1<588o>7?m7:?26a6=9k=01<;6:ji1=o94=00`e?7e?27:>n651c58944d>3;i;63>2b695g1<588oh7?m8:?26=g=9k<01<<79;3a2>;6:121=o84=00;3?7e>27:>5851c48944?=3;i:63>29695g0<5883?7?m6:?26=4=9k<01<<71;3a2>;6:1:1=o84=004b?7e>27:>:k51c489440l3;i:63>26a95g0<588;6:>21=o84=0043?7e>27:>:851c489440=3;i:63>26695g0<5883i7?m6:?26=b=9k=01;6i0?1=o94=0ca5?7e127:>:=51c48941f;3;i96s|16`2>5<5s4;52d628on7p}>7`394?4|58=j=7?je:?23d4=9?:0q~?8b183>7}:9>h;61v<9me;296~;6?ko1=hk4=05b5?7>=2wx=:o?:181870i90:ih5216c2>4073ty:;lh50;0x941fn3;ni63>7`296d152dc28on70?8a182=0=z{8=2j7>52z?23<`=9lo01<9n0;354>{t9>kn6=4={<34e`<6ml16=:7i:3c4?xu6?ki1<74cb34;<5k4>619~w41e13:1>v3>7c;95`c<58=jn7ki6<7:;|q23dg=838p1<9na;3fa>;6?hh1=;>4}r34f=<72;q6=:l7:0gf?870ih09m:5rs05`1?6=:r7:;n;51dg8941fi3;296s|16c:>5<5s4;ed9>52gf28<;7p}>7c594?4|58=i;7?je:?23d?=:h=0q~?8c583>7}:9>i?67g03ty:;n=50;0x941d;3;ni63>7`:95<352g028on70?8a98225=z{8=i97>52z?23g3=9lo01<9n7;0b3>{t9>i96=4={<34g7<6ml16=:o8:0;6?xu6?h<1<74cb34;v3>7b395`c<58=j:7?65:p52g22909w0?8a482a`=:9>k=6<8?;|q23g5=838p1<9m3;3fa>;6?h?1>l94}r34g5<72;q6=:m?:0gf?870i<0:585rs05b0?6=:r7:;l:51dg8941f=3;=<6s|16`1>5<5s4;ed9>52g32;k<7p}>7cd94?4|58=ij7?je:?23d2=90?0q~?89d83>7}:9>3n691v<9nd;296~;6?hn1=hk4=05:a?4f?2wx=:lm:181870jk0:ih5216;f>4?23ty:;4j50;0x941>l3;ni63>78g953652gd28on70?89e81e2=z{8=im7>52z?23gg=9lo01<96d;3:1>{t98om6=4={<314<<6k<16==751728yv76ml0;6>u210f5>7g034;9<54>c49>54cb28on7p}>1e794?5|58;o97?je:?25a0=9?:01<{t98oo6=4<{<32`0<5i>16=?>8:0a6?876mm0:ih5rs03g0?6=;r7:=i:51dg8947c=3;=<63>215953654b32;k<70?=0782g0=:98oh6;69m>1=;>4=0032?7182wx=4e234;:io4>ed9~w47c:3:1?v3>1e095`c<58;o?7?90:?2653=9?:0q~?>e`83>6}:98n96?o8;<3141<6k<16=5<4s4;:h<4=a69>576428i>70?>e882a`=z{8;o<7>53z?25a6=9lo01;6:991=;>4}r32a=<72:q6=4cb3ty:=nh50;1x947dn3;ni63>1e29536<588;>7?90:p54c02908w0?>cg81e2=:9;::6=?51728yv76m?0;6>u210af>7g034;9<=4>c49>54c128on7p}>1bf94?5|58;hh7?je:?25fc=9?:01<{t98o>6=4<{<32ga<5i>16=1gd953654ed2;k<70?>fd82g0=:98o?6;69ji1=;>4=03ea?7182wx=4e234;:i>4>ed9~w47di3:1?v3>1bc95`c<58;hn7?90:?25cb=9?:0q~?>e383>6}:98ij6?o8;<32bf<6k<16=5<4s4;:o44=a69>54`e28i>70?>e082a`=z{8;h47>53z?25f>=9lo01;69oh1=;>4}r32a5<72:q6=4cb3ty:=n950;1x947d?3;ni63>1b:9536<58;mm7?90:p54ba2908w0?>c681e2=:98l26u210a5>7g034;:j54>c49>54bb28on7p}>1b794?5|58;h97?je:?25f0=9?:01{t98no6=4<{<32g0<5i>16=1g5953654e32;k<70?>f782g0=:98nh6;69j>1=;>4=03e2?7182wx=4e234;:ho4>ed9~w47d:3:1?v3>1b095`c<58;h?7?90:?25c3=9?:0q~?>d`83>6}:98i96?o8;<32b1<6k<16=5<4s4;:o<4=a69>54`428i>70?>d882a`=z{8;h<7>53z?25f6=9lo01;69o91=;>4}r32`=<72:q6=4cb3ty:=i950;1x947c?3;ni63>1b29536<58;m>7?90:p54`72908w0?>d681e2=:9;:j6=364d134;j954>b79>5d3f28h=70?n5b82f3=:9h?n616>=;7:0`;?87f>:0:n;521`77>4d134;j:94>b79>5d0228h=70?n6782f3=:9h<<64d134;j944>b79>5d3e28h=70?n5e82f3=:9h?m64d034;j:k4>b69>5d1628h<70?n7282f2=:9h=>616=l96:0`4?87f?k0:n45213:7>4d034;94?4>b69>57>728h<70?=7d82f2=:9;=h616=?97:0`4?875??0:n:521357>4d034;94h4>b99>575e28h=70?=3`82f3=:9;9264d134;9?94>b79>575428h=70?=3382f3=:9;9:64d134;9>n4>b79>574e28h=70?=2`82f3=:9;8264d134;9?h4>b69>5d0728h=70?n7`82f2=:9h3>60;6?u21654>4cb34;<;54>619~w41?>3:1>v3>79495`c<58=<;7=<6<7:;|q2320=838p1<986;3fa>;6?>=1=;>4}r34<0<72;q6=:6::0gf?870??09m:5rs05:7?6=:r7:;4=51dg89410>3;296s|1656>5<5s4;<;84>ed9>521128<;7p}>79694?4|58=387?je:?2323=:h=0q~?89383>7}:9>3967g03ty:;4m50;0x941>k3;ni63>79395<352>728on70?8808225=z{8=3i7>52z?23=c=9lo01<970;0b3>{t9>3i6=4={<34=g<6ml16=:6?:0;6?xu6?>l1<751728yv700m0;6?u216:g>4cb34;<;k4=a69~w41>i3:1>v3>78c95`c<58==m6<8?;|q23=e=838p1<97c;3fa>;6?>o1>l94}r34=<<72;q6=:76:0gf?870?l0:585rs054`?6=:r7:;:j51dg89410m3;=<6s|16:a>5<5s4;<4o4>ed9>521c2;k<7p}>78:94?4|58=247?je:?232b=90?0q~?87b83>7}:9>=h691v<97a;296~;6?1k1=hk4=054g?4f?2wx=:78:1818701>0:ih52165`>4?23ty:;:l50;0x9410j3;ni63>76a953652>>28on70?87c81e2=z{8=2:7>52z?23<0=9lo01<98b;3:1>{t9>=j6=4={<343d<6ml16=:9m:043?xu6?121<74cb34;<;l4>949~w410<3:1>v3>76695`c<58=42909w0?88282a`=:9>=?6?o8;|q23<7=838p1<961;3fa>;6?>>1=4;4}r3436<72;q6=:9<:0gf?870?=0::=5rs05;6?6=:r7:;5<51dg89410;38j;6s|16;3>5<5s4;<5=4>ed9>5214283>7p}>1`594?4|58;ij7?l5:?25d1=9lo0q~?>8d83>7}:982n691vl94=03aa?7d=27:=l851dg8yv760m0;6>u210:g>4cb34;:4h4>619>54db28<;7p}>1`794?5|58;3h7{t982h6=4<{<321`695`c54>e28on70?>8b8225=:98hh6<8?;|q25d5=839p1;69kh1=n;4=03b7?7bm2wx=<6n:1808760h0:ih5210:a>40734;:no4>619~w47f:3:1?v3>19c96d1<58;im7?l5:?25d4=9lo0q~?>8883>6}:98226916=5<4s4;:454>ed9>54>>28<;70?>b88225=z{8;j<7>53z?25=>=:h=01;69h:1=hk4}r32<2<72:q6=<68:0gf?876010::=5210`;>4073ty:=4h50;1x947??38j;63>1c595f3<58;2j7?je:p54>12908w0?>8782a`=:982<6<8?;<32f2<6>91vl94=03a2?7d=27:=4k51dg8yv760<0;6>u210:6>4cb34;:4;4>619>54d128<;7p}>18f94?5|58;397{t982?6=4<{<32<1<6ml16=<6::043?876j<0::=5rs03:g?6=;r7:=5:52`58947e<3;h963>18a95`c4?:2y>54>428on70?>858225=:98h?6<8?;|q25;69k91=n;4=03:f?7bm2wx=<6=:1808760;0:ih5210:0>40734;:n>4>619~w47>i3:1?v3>19096d1<58;i>7?l5:?258083>6}:982:6916=13;ni6s|10:3>5<4s4;:4=4>ed9>54>628<;70?>b08225=z{8;247>53z?25=6=:h=01;69021=hk4}r323c<72:q6=<9i:0gf?876090::=5210`3>4073ty:=4950;1x9470n38j;63>1`d95f3<58;2;7?je:p541b2908w0?>7d82a`=:98=m6<8?;<32ec<6>91vo1>l94=03ba?7d=27:=4851dg8yv76?m0;6>u2105g>4cb34;:;h4>619>54gb28<;7p}>18794?5|58;{t98=h6=4<{<323f<6ml16=<9k:043?876im0::=5rs03:0?6=;r7:=:m52`58947fk3;h963>18695`c541e28on70?>7b8225=:98kh6<8?;|q25<5=839p1;69hh1=n;4=03:7?7bm2wx=<9n:180876?h0:ih52105a>40734;:mo4>619~w47>:3:1?v3>16c96d1<58;jm7?l5:?25<4=9lo0q~?>7883>6}:98=26916=93;ni6s|105;>5<4s4;:;54>ed9>541>28<;70?>a88225=z{8;3j7>53z?252>=:h=01;690:1=hk4}r340c<72<9p1<9;f;3fa>;6i=k1=o84=0c0g?7e>27:m9l51c4894g3k3;i:63>a5f95g0<58k?i7?m6:?2e06=9k<01;6i:n1=o84=0c0b?7e>27:m9?51c4894g3;3;i:63>a5795g0<58k?57?m7:?140b=9k201;6i<;1=o84=0c0f?7e>27:m>k51c4894g383;i:63>a5095g0<58k?87?m6:?2e10=9k<01;6i?91=o94=0c60?7e?27:m;:51c5894g1=3;i;63>a7495g1<58k=;7?m7:?2e3?=9k=01;6ia4f95g1<58k>j7?m7:?2e37=9k301<<;6::k1=o94=000=?7e?27:>>651c589444?3;i;63>22495g1<588887?m7:?2664=9k=01<<<0;3a3>;6:;o1=o94=001g?7e?27:>?o51c58944503;i;63>23495g1<588987?m8:?25``=9k<01;69ln1=o84=03fg?7e>27:=hl51c48947bi3;i:63>1d;95g0<58;n47?m6:?25`1=9k<01;69l?1=o84=03f0?7e>27:=h=51c48947b:3;i:63>1d395g0<58;n<7?m6:?25a`=9k<01;69mn1=o84=03gg?7e>27:=il51c48947c13;i:63>1e:95g1<58k?;7?m6:?2e36=9k=01;69mk1=o84=0564?7e=2wx=:;j:1818704cb3ty:;9k50;0x9413m3;ni63>75d9536523c28on70?84d81e2=z{8=?h7>52z?231b=9lo01<9;e;354>{t9>?h6=4={<341f<6ml16=::k:3c4?xu6??k1<74cb34;<8i4>619~w412j3:1>v3>74`95`c<58=?o72909w0?86882a`=:9>>h6<7:;|q230>=838p1<9:8;3fa>;6?=i1=;>4}r3423<72;q6=:89:0gf?870=109m:5rs0546?6=:r7:;:<51dg8941203;296s|1674>5<5s4;<9:4>ed9>523?28<;7p}>77794?4|58==97?je:?2301=:h=0q~?87083>7}:9>=:6=0:ih521675>7g03ty:;:>50;0x941083;ni63>74495<3523228on70?8578225=z{8==?7>52z?2335=9lo01<9:5;0b3>{t9>1<7;0;6?u21641>4cb34;<994=a69~w411m3:1>v3>77g95`c<58=>87?65:p52342909w0?85282a`=:9>??6<8?;|q2337=838p1<991;3fa>;6?<91>l94}r342a<72;q6=:8k:0gf?870=:0:585rs0566?6=:r7:;8<51dg89412;3;=<6s|1643>5<5s4;<:=4>ed9>52352;k<7p}>77a94?4|58==o7?je:?2304=90?0q~?85083>7}:9>?:691v<9:f;296~;6?k0:ih521672>4?23ty:;9l50;0x9413j3;ni63>7439536523f28on70?84c81e2=z{8==47>52z?233>=9lo01<9;b;3:1>{t9>>j6=4={<340d<6ml16=::m:043?xu6?<31<7>0;6?u21644>4cb34;<8l4>949~w47183:1>v3>16595f3<58;=<7?je:p542f2909w0?>4`82a`=:98=<6<8?;|q250`=839p1;69><1=n;4=036b?7bm2wx=<:6:180876<00:ih52106b>40734;:;;4>619~w472m3:1?v3>15;96d1<58;<97?l5:?250c=9lo0q~?>4983>6}:98>36916=<9::043?xu695<4s4;:8:4>ed9>542?28<;70?>758225=z{8;>o7>53z?2511=:h=01;690::=521050>4073ty:=8l50;1x9473>38j;63>16095f3<58;>n7?je:p54222908w0?>4482a`=:98>=6<8?;<3237<6>91vl94=0345?7d=27:=8o51dg8yv76<=0;6>u21067>4cb34;:884>619>541628<;7p}>14;94?5|58;?87{t98>86=4<{<3206<6ml16=<:;:043?876?90::=5rs03614:95`c542528on70?>428225=:98;69?o1=n;4=0363?7bm2wx=<:>:180876<80:ih521061>40734;::h4>619~w472>3:1?v3>15396d1<58;=h7?l5:?2500=9lo0q~?>4183>6}:98>;6916=<8k:043?xu695<4s4;:?k4>ed9>542728<;70?>6b8225=z{8;>87>53z?256`=:h=01;69<>1=hk4}r327`<72:q6=<=j:0gf?876;o0::=52104a>4073ty:=8=50;1x9474m38j;63>17c95f3<58;>?7?je:p545c2908w0?>3e82a`=:989n6<8?;<322d<6>91vl94=035=?7d=27:=8<51dg8yv76;j0;6>u2101`>4cb34;:?i4>619>540>28<;7p}>14394?5|58;8o7=9j?01{t989i6=4<{<327g<6ml16=<=l:043?876>10::=5rs0364?6=;r7:=>l52`589471?3;h963>14295`c545f28on70?>3c8225=:98<<6<8?;|q251`=839p1;69?<1=n;4=037b?7bm2wx=<=6:180876;00:ih52101b>40734;::;4>619~w473m3:1?v3>12;96d1<58;=97?l5:?251c=9lo0q~?>3983>6}:98936916=<8::043?xu69=n1<7=t=0305<4s4;:?:4>ed9>545?28<;70?>658225=z{8;?o7>53z?2561=:h=01;69=i1=hk4}r3273<72:q6=<=9:0gf?876;>0::=521040>4073ty:=9l50;1x9474>38j;63>17095f3<58;?n7?je:p5241290?9v3>73495`c<58k8;7?m6:?2e6?=9k<01;6i;=1=o84=0c1=?7e>27:m?l51c4894g5l3;i:63>a2395g0<5;:>j7?m8:?2e64=9k<01;6i:91=o84=0c00?7e>27:m>;51c4894g4>3;i:63>a2:95g0<58k8m7?m6:?2e70=9k<01;6i;k1=o84=0c1g?7e>27:m?k51c4894g483;i:63>a5d95g1<58k>=7?m7:?2e6d=9k=01;6i=:1=o94=0c76?7e?27:m9:51c5894g3>3;i;63>a5:95g><58;n57?m7:?25`1=9k=01;69l91=o94=03f5?7e?27:=ih51c58947cl3;i;63>1e`95g1<58;o57?m7:?25d1=9k<01;69h?1=o84=03b0?7e>27:=l=51c48947f:3;i:63>1`395g0<58;j<7?m6:?25<`=9k<01;690n1=o84=03:g?7e>27:=4l51c48947>i3;i:63>18;95g0<58;247?m6:?25<1=9k<01;690?1=o84=03:0?7e>27:=4<51c48947>93;i:63>a3d95g0<58k?;7?m7:?2e36=9k201;6?;=1=o;4}r3470<72;q6=:<9:3c4?870;<0:ih5rs0511?6=:r7:;?;51dg89415>3;=<6s|1617>5<5s4;ed9>52422;k<7p}>73694?4|58=987?je:?2373=9?:0q~?83283>7}:9>9861v<9;1;296~;6?=;1=hk4=0510?7>=2wx=:<<:181870::0:ih521607>4073ty:;><50;0x9414:3;ni63>73196d1522728on70?82282=0=z{8=9j7>52z?237`=9lo01<9=3;354>{t9>9o6=4={<347a<6ml16=:4cb34;<>k4>619~w414k3:1>v3>72a95`c<58=9i78n6<7:;|q237b=838p1<9=d;3fa>;6?;o1=;>4}r347g<72;q6=:=m:0gf?870:m09m:5rs0573?6=:r7:;9951dg89415l3;296s|160`>5<5s4;<>n4>ed9>524c28<;7p}>72c94?4|58=8m7?je:?237e=:h=0q~?84783>7}:9>>=67g03ty:;9;50;0x9413=3;ni63>73`95<3l4?:3y>524f28on70?82c8225=z{8=847>52z?236>=9lo01<9=a;0b3>{t9>>?6=4={<3401<6ml16=:0;6?u21614>4cb34;<>44=a69~w413;3:1>v3>75195`c<58=957?65:p524?2909w0?82982a`=:9>826<8?;|q2360=838p1<9<6;3fa>;6?;21>l94}r3407<72;q6=::=:0gf?870:10:585rs0516?6=:r7:;?<51dg8941503;=<6s|1612>5<5s4;ed9>52452;k<7p}>72d94?4|58=8j7?je:?2374=90?0q~?82083>7}:9>8:691v<9<0;296~;6?::1=hk4=0515?4f?2wx=:=j:181870;l0:ih521602>4?23ty:=10d95`c546f28on70?>348225=z{8;:i7>53z?255g=:h=01;698o1=hk4}r324<<72:q6=<>6:0gf?8768h0::=521017>4073ty:=12195f3<58;:h7?je:p546?2908w0?>0982a`=:98:26<8?;<3276<6>91vc;297~;69921>l94=0306?7d=27:=0;6>u21024>4cb34;:<54>619>545528<;7p}>10`94?5|58;;;7b;3fa>{t98:=6=4<{<3243<6ml16=<>8:043?876;80::=5rs032e?6=;r7:==852`58947483;h963>10c95`c546228on70?>078225=:989;6<8?;|q254?=839p1;69;l1=n;4=032=?7bm2wx=<>;:1808768=0:ih521026>40734;:>k4>619~w47603:1?v3>11696d1<58;9i7?l5:?254>=9lo0q~?>0283>6}:98:86916=<5<4s4;:ed9>546428<;70?>2e8225=z{8;::7>53z?2554=:h=01;698<1=hk4}r3244<72:q6=<>>:0gf?8768;0::=52100`>4073ty:=<;50;1x9477938j;63>13`95f3<58;:97?je:p54672908w0?>0182a`=:98::6<8?;<326g<6>91v4;297~;699:1>l94=031e?7d=27:=<:51dg8yv77no0;6>u211de>4cb34;:<=4>619>544f28<;7p}>10194?5|58:mj73;3fa>{t99ln6=4<{<33b`<6ml16==hi:043?876:00::=5rs0326?6=;r7:10095`c55`c28on70??fd8225=:98836<8?;|q2547=839p1<>id;0b3>;69;=1=n;4=0325?7bm2wx==hl:180877nj0:ih5211dg>40734;:>:4>619~w47683:1?v3>0ga96d1<58;9:7?l5:?2546=9lo0q~??fc83>6}:99li6916=<<9:043?xu699l1<7=t=02ef?4f?27:=?;51b789477n3;ni6s|11db>5<4s4;;jl4>ed9>55`e28<;70?>248225=z{8;;i7>53z?24cg=:h=01;699o1=hk4}r33b<<72:q6==h6:0gf?877nh0::=521007>4073ty:==j50;1x946a138j;63>13195f3<58;;h7?je:p55`?2908w0??f982a`=:99l26<8?;<3266<6>91vl94=0316?7d=27:==m51dg8yv77n>0;6>u211d4>4cb34;;j54>619>544528<;7p}>11`94?5|58:m;7{t9?lm6=4;dz?22c`=9lo01b;3a2>;6i9l1=o84=0c2g?7e>27:ma0d95g0<58k9=7?m6:?2e75=9k<010;3a2>;6i881=o84=0c20?7e>27:m<851c4894g6i3;i:63=07395g><58k9<7?m6:?2e74=9k<01;6i8;1=o84=0c27?7e>27:m<;51c4894g6?3;i:63>a0;95g0<58k8>7?m7:?2e73=9k=01;6i:>1=o94=0c01?7e?27:m>851c5894g403;i;63>a2c95g1<58k9:7?m7:?2e7>=9k=01;6i;i1=o94=0c1a?7e?27:m>>51c:8947f?3;i;63>1`495g1<58;j97?m7:?25d2=9k=01;69h81=o94=03b4?7e?27:=4k51c58947>k3;i;63>18c95g1<58;247?m7:?25<0=9k=01;69081=o94=0354?7e>27:=8h51c489472m3;i:63>14f95g0<58;>o7?m6:?250d=9k<01;69<31=o84=03627:=8951c489472>3;i:63>14795g0<58;>87?m6:?2505=9k<01;69<;1=o84=0364?7e>27:=9h51c489473m3;i:63>15a95g0<58;?n7?m6:?2e4>=9k<01;6i==1=o64=037`?7e>27:;=>51c78yv708m0;6?u217de>7g034;<ed9~w40am3:1>v3>6gg95`c<58;6>oo1=;>4}r344g<72;q6=:>m:0gf?871nm09m:5rs0525<5s4;<<54>ed9>53`c28<;7p}>70794?4|58=:97?je:?235>=:h=0q~?82183>7}:9>8;67g03ty:;71595<3526128on70?8068225=z{8=:?7>52z?2345=9lo01<9?6;0b3>{t9>;n6=4={<345`<6ml16=:>9:0;6?xu6?9?1<74cb34;<<84=a69~w416l3:1>v3>70f95`c<58=;97?65:p52632909w0?80582a`=:9>:>6<8?;|q2347=838p1<9>1;3fa>;6?9>1>l94}r345f<72;q6=:?l:0gf?8708=0:585rs0537?6=:r7:;==51dg89417<3;=<6s|1633>5<5s4;<==4>ed9>52642;k<7p}>70`94?4|58=:n7?je:?2355=90?0q~?80383>7}:9>:9691v<9?f;296~;6?9l1=hk4=0536?4f?2wx=:?n:1818709h0:ih521621>4?23ty:;=?50;0x941793;ni63>7109536526b28on70?80081e2=z{8=:57>52z?234?=9lo01<9?1;3:1>{t9?lh6=4={<35bf<6ml16=:>>:043?xu6?9k1<70;6?u21634>4cb34;=jn4>949~w40aj3:1>v3>6g`95`c<582909w0?80882a`=:9?li6?o8;|q2340=838p1<9>6;3fa>;6>oh1=4;4}r33a4<72;q6==h9:0a6?877m80:ih5rs02``?6=:r7:3;=<6s|11g3>5<4s4;;oi4=a69>55`228i>70??e182a`=z{8:ho7>53z?24fe=9lo01<>ld;354>;68o?1=;>4}r33`c<72:q6==ml:3c4?877n=0:o85211fe>4cb3ty:0ba9536<58:m87?90:p55bb2908w0??cc81e2=:99l86la;297~;68jk1=hk4=02`f?71827:u211ab>7g034;;j?4>c49>55bc28on7p}>0b;94?5|58:h57?je:?24fg=9?:01<>i2;354>{t99nh6=4<{<33g<<5i>16==h>:0a6?877lj0:ih5rs02`0g3953655e?2;k<70??f182g0=:99ni6l7;3fa>;68j21=;>4=02e4?7182wx==jn:180877k>09m:5211ge>4e234;;hl4>ed9~w46d>3:1?v3>0b495`c<58:h;7?90:?24``=9?:0q~??d883>6}:99i=6?o8;<33a`<6k<16==j6:0gf?xu68j?1<7=t=02`1?7bm27:5<4s4;;o84=a69>55cc28i>70??d982a`=z{8:h87>53z?24f2=9lo01<>l5;354>;68ln1=;>4}r33`2<72:q6==m;:3c4?877mj0:o85211f4>4cb3ty:0b69536<58:no7?90:p55b12908w0??c281e2=:99oi6l2;297~;68j81=hk4=02`7?71827:u211a1>7g034;;il4>c49>55b228on7p}>0b394?5|58:h=7?je:?24f4=9?:01<>ja;354>{t99n?6=4<{<33g4<5i>16==k6:0a6?877l=0:ih5rs02`4?6=;r7:51dg8946d93;=<63>0d;95364?:2y>55e72;k<70??e982g0=:99n86mf;3fa>;68j:1=;>4=02f4e234;;h?4>ed9~w46em3:1?v3>0cg95`c<58:ij7?90:?24`1=9?:0q~??d083>6}:99hn6?o8;<33a3<6k<16==j>:0gf?xu68kn1<7=t=02a`?7bm27:3;=<6s|11f3>5<4s4;;ni4=a69>55c228i>70??d182a`=z{8:io7>53z?24ge=9lo01<>md;354>;68l?1=;>4}r33gc<72:q6==ll:3c4?877m=0:o85211ae>4cb3ty:0ca9536<58:n87?90:p55eb2908w0??bc81e2=:99o86l:0`5?87>n00:n;5218d`>4d134;2jh4>b79>5d6728h=70?n0582f3=::9<864d134;j<54>b79>5d6>28h=70?n0c82f3=:9h:o6no0:n;521`22>4d134;j<>4>b79>5d4728h<70?n2382f2=:9h:n616=l?<:0`4?87f9<0:n:521`34>4d034;j=44>b99>543f28h<70?>5982f2=:98?=616=<;=:0`4?876=90:n:52106f>4d034;:8n4>b69>547a28h=70?>1d82f3=:98;o64d134;:=54>b79>547028h=70?>1782f3=:98;>64d134;:==4>b79>546a28h=70?>0d82f3=:98:h6=:0`5?87f910:n:521`0e>4d?34;:b79>53bf28h>7p}>6d594?4|587}:9?n3691v<8j6;296~;6>l<1=hk4=04g0:ih5217f;>4073ty::h;50;0x940b=3;ni63>6e596d153`528on70?9d682=0=z{87>52z?22`4=9lo01<8k7;354>{t9?om6=4={<35ac<6ml16=;k=:3c4?xu6>ok1<74cb34;=i?4>619~w40bm3:1>v3>6dg95`c<582909w0?9f882a`=:9?o:6<7:;|q22`6=838p1<8j0;3fa>;6>l;1=;>4}r35aa<72;q6=;kk:0gf?871m909m:5rs04e5<5s4;=hk4>ed9>53c728<;7p}>6da94?4|587}:9?l<6mo1=hk4=04gb?7182wx=;km:181871mk0:ih5217ff>7g03ty::k850;0x940a>3;ni63>6eg95<353bc28on70?9dd8225=z{852z?22`g=9lo01<8kd;0b3>{t9?l>6=4={<35b0<6ml16=;jk:0;6?xu6>mi1<74cb34;=hn4=a69~w40a<3:1>v3>6g695`c<58=838p1<8j8;3fa>;6>mh1>l94}r35b6<72;q6=;h<:0gf?871lk0:585rs04g2?6=:r7::i851dg8940cj3;=<6s|17g7>5<5s4;=i94>ed9>53b12;k<7p}>6g394?4|587}:9?n>691v<8j3;296~;6>l91=hk4=04g1?4f?2wx=;h?:181871n90:ih5217f6>4?23ty:0`495`c4?:3y>55?428on70??b`8225=z{8:j97>53z?24<5=:h=01<>m9;3`1>;68h?1=hk4}r33=7<72:q6==7=:0gf?8771:0::=5211`:>4073ty::38j;63>0c:95f3<58:j87?je:p55?62908w0??9082a`=:99396<8?;<33f=<6>91v<>n3;297~;680;1>l94=02a3?7d=27:u211;3>4cb34;;5<4>619>55d028<;7p}>0`094?5|58:2<7n2;3fa>{t992m6=4<{<330`395`c55>b28on70??8g8225=:99h>6<8?;|q24d6=839p1<>7e;0b3>;68k>1=n;4=02b4?7bm2wx==6k:1808770m0:ih5211:f>40734;;n94>619~w46>n3:1?v3>09f96d1<58:i?7?l5:?24<`=9lo0q~??8b83>6}:992h6916==l<:043?xu680o1<7=t=02;g?4f?27:m3;ni6s|11:a>5<4s4;;4o4>ed9>55>d28<;70??b38225=z{8:2h7>53z?24=d=:h=01<>m1;3`1>;680n1=hk4}r334073ty:<4m50;1x946?i38j;63>0c295f3<58:2o7?je:p55>>2908w0??8882a`=:992j6<8?;<33f5<6>91v<>6b;297~;68131>l94=02bb?7d=27:<4l51dg8yv77010;6>u211:;>4cb34;;444>619>55ga28<;7p}>08c94?5|58:3476a;3fa>{t992<6=4<{<33<2<6ml16==67:043?877il0::=5rs02:=?6=;r7:<5952`58946fl3;h963>08;95`c55>128on70??868225=:99ko6<8?;|q24<>=839p1<>76;0b3>;68hi1=n;4=02:40734;;mn4>619~w46>?3:1?v3>09796d1<58:jn7?l5:?24<1=9lo0q~??8583>6}:992?6916==om:043?xu680<1<7=t=02;0?4f?27:>3;ni6s|11:0>5<4s4;;4>4>ed9>55>328<;70??a`8225=z{8:297>53z?24=5=:h=01<>n9;3`1>;680?1=hk4}r33<7<72:q6==6=:0gf?8770:0::=5211c:>4073ty:<4:50;1x946?:38j;63>0`:95f3<58:287?je:p53d3290?;v3>6c695`c<583m<7?m6:?2=`0=9k<01<7i1;3a2>;61o81=o84=0;e7?7e>27:5k:51c4894?a>3;i:63>9g:95g0<583n;7?m6:?2=`?=9k<01<7jb;3a2>;61ll1=o84=3251?7e027:5k;51c4894?a?3;i:63>9d795g0<583n47?m6:?2=`g=9k<01<7jc;3a2>;61lo1=o84=0c31?7e?27:5ko51c5894g7>3;i;63>a1595g1<58k;47?m7:?2e5?=9k=01;6i9n1=o94=0;ef?7e?27:5kj51c5894?an3;i;63>a1395g1<58k;?7?m8:?254`=9k=01e;3a3>;698n1=o94=032g?7e?27:=10:95g1<58;::7?m7:?2542=9k=012;3a3>;698:1=o94=033a?7e?27:==m51c58946b93;i:63>0d295g0<58:oj7?m6:?24ac=9k<01<>kd;3a2>;68mi1=o84=02gf?7e>27:0e:95g0<58:o;7?m6:?24a0=9k<01<>k5;3a2>;68m>1=o84=02g7?7e>27:0bd95g0<58:hi7?m6:?2=`b=9k<01;6i821=o64=02g4?7e>27::o;51c78yv71k;0;6?u217`7>7g034;=o?4>ed9~w40e;3:1>v3>6c195`c<58;6>k91=;>4}r35g5<72;q6=;m?:0gf?871j;09m:5rs04a`?6=:r7::oj51dg8940e:3;=<6s|17ab>5<5s4;=ol4>ed9>53dc2;k<7p}>6e694?4|587}:9?hh691v<8l9;296~;6>j31=hk4=04ag?4f?2wx=;j<:181871l:0:ih5217``>4?23ty::ol50;0x940ej3;ni63>6ca953653e?28on70?9bc81e2=z{87>52z?22a4=9lo01<8mb;3:1>{t9?hj6=4={<35fd<6ml16=;lm:043?xu6>j=1<74cb34;=nl4>949~w40e13:1>v3>6c;95`c<58;6>k31=4;4}r35f=<72;q6=;l7:0gf?871j00::=5rs04`1?6=:r7::n;51dg8940e038j;6s|17ae>5<5s4;=ok4>ed9>53d?283>7p}>6c594?4|58=9?:0q~?9c583>7}:9?i?61v<8le;296~;6>jo1=hk4=04a3?7>=2wx=;l9:181871j?0:ih5217`4>4073ty::n=50;0x940d;3;ni63>6c496d153ec28on70?9b782=0=z{852z?22g7=9lo01<8m6;354>{t9?hm6=4={<35fc<6ml16=;l>:3c4?xu6>ji1<74cb34;=n<4>619~w40em3:1>v3>6cg95`c<5871;3`1>;68?o1=hk4}r331d<72;q6==;n:0gf?877080::=5rs025`?6=;r7:<8o52`58946?83;h963>07f95`c553>28on70??5`8225=:992;6<8?;|q243e=839p1<>:9;0b3>;68>l1=n;4=025g?7bm2wx==;7:180877=10:ih52117:>40734;;;k4>619~w461j3:1?v3>04:96d1<58:6}:99?<6916==9j:043?xu68?k1<7=t=0263?4f?27:<:j51b789461i3;ni6s|1175>5<4s4;;9;4>ed9>553028<;70??7e8225=z{8:=57>53z?2400=:h=01<>8c;3`1>;68?31=hk4}r3310<72:q6==;::0gf?877=?0::=52115`>4073ty:<;650;1x9462=38j;63>06`95f3<58:=47?je:p55332908w0??5582a`=:99?>6<8?;<333g<6>91v<>97;297~;68<>1>l94=024e?7d=27:<;951dg8yv77=:0;6>u21170>4cb34;;994>619>551f28<;7p}>07494?5|58:>?796;3fa>{t99?96=4<{<3317<6ml16==;<:043?877?00::=5rs0251?6=;r7:<8<52`58946003;h963>07795`c553628on70??538225=:99=36<8?;|q2432=839p1<>:1;0b3>;68>=1=n;4=0250?7bm2wx==;?:180877=90:ih521172>40734;;;:4>619~w461;3:1?v3>04296d1<58:<:7?l5:?2435=9lo0q~??4g83>6}:99>m6916==99:043?xu68?81<7=t=027b?4f?27:<:;51b789461:3;ni6s|116f>5<4s4;;8h4>ed9>552a28<;70??748225=z{8:==7>53z?241c=:h=01<>84;3`1>;68?;1=hk4}r330a<72:q6==:k:0gf?8774073ty:<;>50;1x9463l38j;63>06195f3<58:=<7?je:p552d2908w0??4b82a`=:99>o6<8?;<3336<6>91v<>:f;297~;68=i1>l94=0246?7d=27:<8h51dg8yv77u2116a>4cb34;;8n4>619>551528<;7p}>04g94?5|58:?n7:e;3fa>{t99>j6=4<{<330d<6ml16==:m:043?877?80::=5rs026`?6=;r7:<9o52`58946083;h963>04f95`c552>28on70??4`8225=:99=;6<8?;|q240d=839p1<>;9;0b3>;68?l1=n;4=026g?7bm2wx=;7?:180=~;6>0:1=hk4=0;f5?7e>27:5h=51c4894?c:3;i:63>9e795g0<583o;7?m6:?2=ad=9k=01?>97;3a<>;61mi1=o84=0;g7?7e>27:5ij51c4894?cm3;i:63>9ed95g0<583n<7?m6:?2=`4=9k<01<7j4;3a2>;61m>1=o84=0;g2?7e>27:5i651c4894?ci3;i:63>9g795g1<583m;7?m7:?2=`3=9k=01<7j8;3a3>;61lk1=o94=0;fg?7e?27:5hk51c;8946cj3;i;63>0e;95g1<58:o;7?m7:?24a3=9k=01<>k3;3a3>;68m;1=o94=02`b?7e027:0`695g0<58:j?7?m6:?24d4=9k<01<>n1;3a2>;68h:1=o84=02:b?7e>27:<4k51c48946>l3;i:63>08a95g0<58:2n7?m6:?2469;3a2>;68021=o84=02:3?7e>27:<4;51c48946><3;i;63>9e;95g0<583nh7?m7:?2e54=9k301<>66;3a2>;6>0;1=o;4}r35=a<72;q6=;7?:3c4?8711m0:ih5rs04;b?6=:r7::5h51dg8940>83;=<6s|17;`>5<5s4;=5n4>ed9>53>a2;k<7p}>68;94?4|58<257?je:?22=`=9?:0q~?9a483>7}:9?k>61v<8nf;296~;6>hl1=hk4=04:=?7>=2wx=;77:181871110:ih5217;:>4073ty::l:50;0x940f<3;ni63>68:96d153gb28on70?99982=0=z{8<2;7>52z?22<1=9lo01<868;354>{t9?k86=4={<35e6<6ml16=;78:3c4?xu6>hn1<74cb34;=5:4>619~w40f:3:1>v3>6`095`c<58<2:7;6>0<1=;>4}r35e4<72;q6=;o>:0gf?8711<09m:5rs04bf?6=:r7::ll51dg8940>=3;296s|17;7>5<5s4;=594>ed9>53?228<;7p}>6`294?4|587}:9?kj6091=hk4=04:0?7182wx=;7i:1818711o0:ih5217;0>7g03ty::l750;0x940f13;ni63>68195<353?528on70?9928225=z{8<2i7>52z?22{t9?k36=4={<35e=<6ml16=;7=:0;6?xu6>1o1<74cb34;=4h4=a69~w40f?3:1>v3>6`595`c<58<3i7?65:p53>c2909w0?98e82a`=:9?2n6<8?;|q22;6>1n1>l94}r35e3<72;q6=;o9:0gf?8710m0:585rs0202?6=:r7:<9651b789464>3;ni6s|1106>5<5s4;;>84>ed9>552?28<;7p}>02794?5|58:997<5;3fa>{t998?6=4<{<3361<6ml16==<::043?877<>0::=5rs0200?6=;r7:3;h963>02695`c>4?:2y>554428on70??258225=:99>=6<8?;|q2465=839p1<>=3;0b3>;68=?1=n;4=0207?7bm2wx==<=:180877:;0:ih521100>40734;;884>619~w464:3:1?v3>03096d1<58:?87?l5:?2464=9lo0q~??2083>6}:998:6916==:;:043?xu68:;1<7=t=0215?4f?27:<9=51b78946493;ni6s|1103>5<4s4;;>=4>ed9>554628<;70??428225=z{8:8<7>53z?2476=:h=01<>;2;3`1>;68::1=hk4}r335c<72:q6==?i:0gf?877:90::=521161>4073ty:05395f3<58:9j7?je:p557b2908w0??1d82a`=:99;m6<8?;<3304<6>91v<>=e;297~;688o1>l94=0274?7d=27:u2113g>4cb34;;=h4>619>552728<;7p}>03f94?5|58::h7=d;3fa>{t99;h6=4<{<335f<6ml16==?k:043?877;o0::=5rs021g?6=;r7:<03a95`c557e28on70??1b8225=:999n6<8?;|q247d=839p1<>>b;0b3>;68:n1=n;4=021f?7bm2wx==?n:1808779h0:ih52113a>40734;;?i4>619~w465i3:1?v3>00c96d1<58:8o7?l5:?247g=9lo0q~??1883>6}:99;26916===l:043?xu68;31<7=t=022=?4f?27:<>l51b78946513;ni6s|113;>5<4s4;;=54>ed9>557>28<;70??3c8225=z{8:947>53z?244>=:h=01<>;68;21=hk4}r3352<72:q6==?8:0gf?877910::=52111b>4073ty:02;95f3<58:9;7?je:p55712908w0??1782a`=:99;<6<8?;<337<<6>91v<>=6;297~;688<1>l94=020l0;69?t=045a?7bm27:5n651c4894?d13;i:63>9bc95g0<583hn7?m6:?2=fe=9k<01<7ld;3a2>;61jl1=o84=0;g5?7e>27:5n?51c4894?d;3;i:63>9b595g0<5;:=57?m8:?2=fc=9k<01<7k0;3a2>;61j:1=o84=0;`6?7e>27:5n:51c4894?d>3;i:63>9ea95g1<583o?7?m7:?2=ab=9k=01<7ke;3a3>;61ml1=o94=0;f4?7e?27:5h<51c5894?b<3;i;63>9e695g1<583o:7?m7:?2=a>=9k=01<7ka;3a<>;68h<1=o94=02b1?7e?27:0`095g1<58:j=7?m7:?24<`=9k=01<>6d;3a3>;680h1=o94=02:=?7e?27:<4951c58946>=3;i;63>07g95g0<58:=h7?m6:?243e=9k<01<>9b;3a2>;68?k1=o84=025=?7e>27:<;651c489461?3;i:63>07495g0<58:=97?m6:?2432=9k<01<>93;3a2>;68?81=o84=0255?7e>27:<;>51c489462m3;i:63>04f95g0<583h97?m6:?2=a?=9k=01<7jd;3a<>;68l09m:52175a>4cb3ty::;j50;0x9401l3;ni63>67g9536531f28on70?96e81e2=z{8<<;7>52z?2221=9lo01<89d;354>{t9?286=4={<35<6<6ml16=;98:3c4?xu6>><1<74cb34;=;;4=a69~w40?k3:1>v3>69a95`c<58<<:7?65:p53122909w0?97482a`=:9?==6<8?;|q22=7=838p1<871;3fa>;6>>?1>l94}r355<5s4;=4=4>ed9>53132;k<7p}>69c94?4|58<3m7?je:?2222=90?0q~?97283>7}:9?=8691v<88f;296~;6>>l1=hk4=0447?4f?2wx=;66:181871000:ih521750>4?23ty:::<50;0x9400:3;ni63>6619536531b28on70?97381e2=z{8<347>52z?22=>=9lo01<882;3:1>{t9?=:6=4={<3534<6ml16=;9=:043?xu6>>n1<70;6?u217:4>4cb34;=;<4>949~w40083:1>v3>66295`c<58<<=7?90:p531d2909w0?97b82a`=:9?=;6?o8;|q22=0=838p1<876;3fa>;6>>:1=4;4}r352f<72;q6=;8l:0gf?871?90::=5rs044=?6=:r7:::751dg89401k38j;6s|17:6>5<5s4;=484>ed9>530d283>7p}>67`94?4|58<=n7?je:?223e=9?:0q~?97983>7}:9?=361v<874;296~;6>1>1=hk4=045f?7>=2wx==>;:1818779<0:o8521127>4cb3tymj?4?:3y>bc4=9lo01<>>5;354>{t99:86=4<{u2fg396d1<58::?7?l5:?2454=9lo0q~hi0;297~;an90:ih52fg39536<58::?7?90:p55662908w0hi0;0b3>;68881=n;4=0235?7bm2wxjhh50;1x9cca28on70hi0;354>;68881=;>4}r3345<72:q6jhh52`58946693;h963>01295`c53z?ea`<6ml16jhh51728946693;=<6s|fgd94?5|5oon6?o8;<3355<6k<16jkh51dg8yv`bl3:1?v3iee82a`=:nlo1=;>4=0224?7182wxjkk50;1x9ccc2;k<70??0g82g0=:noo1=hk4}rdfg?6=;r7min4>ed9>b`b=9?:01<>?f;354>{tnon1<7=t=gg`>7g034;;

c49>bcb=9lo0q~hjb;297~;amk0:ih52fda9536<58:;i7?90:pbce=839p1kkm:3c4?8778m0:o852fga95`c53z?ead<6ml16jhl517289467l3;=<6s|fg`94?5|5ooj6?o8;<334f<6k<16jkl51dg8yv`b13:1?v3ie882a`=:nlk1=;>4=023g?7182wxjko50;1x9cc>2;k<70??0c82g0=:nok1=hk4}rdfed9>b`?=9?:01<>?b;354>{tno31<7=t=gg;>7g034;;c49>bc?=9lo0q~hj7;297~;am>0:ih52fd:9536<58:;m7?90:pbc>=839p1kk8:3c4?877800:o852fg:95`c53z?ea3<6ml16jh951728946713;=<6s|fg594?5|5oo=6?o8;<334=<6k<16jk951dg8yv`b=3:1?v3ie482a`=:nl<1=;>4=023ed9>b`3=9?:01<>?7;354>{tno?1<7=t=gg7>7g034;;<;4>c49>bc3=9lo0q~hj3;297~;am:0:ih52fd69536<58:;:7?90:pbc5=839p1kk<:3c4?8778<0:o852fg695`c9ca95g0<583ii7?m6:?2=d`=9k<01<7m1;3a2>;61k?1=o94=3279c:95g0<583i57?m6:?2=gg=9k<01<7mb;3a2>;61kn1=o84=0;ab?7e>27:5o>51c4894?e:3;i:63>9c695g0<583hi7?m7:?2=a6=9k=01<7l0;3a3>;61j81=o94=0;`0?7e?27:5n851c;8946103;i;63>07495g1<58:=87?m7:?2434=9k=01<>90;3a3>;6827:<>;51c489464<3;i:63>02195g0<58:8>7?m6:?2467=9k<01<><0;3a2>;68;l1=o84=021a?7e>27:03`95g0<58:9m7?m6:?247?=9k<01<>=7;3a2>;68;<1=o94=0;a7?7e>27:5n;51c5894?c13;i563>03:95g0<582909w0?94b81e2=:9??26;6>=i1=;>4}r351=<72;q6=;;7:0gf?8715<5s4;=:<4>ed9>53322;k<7p}>64694?4|58<>87?je:?2203=9?:0q~?96183>7}:9?<;61v<89a;296~;6>?k1=hk4=0460?7>=2wx=;;<:181871=:0:ih521777>4073ty::8h50;0x9402n3;ni63>64196d1530>28on70?95282=0=z{8<>>7>52z?2204=9lo01<8:3;354>{t9??n6=4={<351`<6ml16=;;=:3c4?xu6>?21<74cb34;=9?4>619~w402l3:1>v3>64f95`c<58<>=7;6><;1=;>4}r351f<72;q6=;;l:0gf?871=909m:5rs0452?6=:r7::;851dg8940283;296s|176e>5<5s4;=8k4>ed9>533728<;7p}>64`94?4|58<>n7?je:?221`=:h=0q~?96483>7}:9?<>6=o1=hk4=047b?7182wx=;;n:181871=h0:ih52176f>7g03ty::;:50;0x9401<3;ni63>65g95<3532f28on70?94d8225=z{8<>;7>52z?2201=9lo01<8;a;0b3>{t9?<86=4={<3526<6ml16=;:n:0;6?xu6>=31<74cb34;=844=a69~w401:3:1>v3>67095`c<58;al80:ih5rsga1>5<4s4lh>7?je:?eg6<6>916jh?51728yv`c83:1?v3ic381e2=:nl:1=n;4=gf3>4cb3tymo<4?:2y>bf7=9lo01km=:043?8`b83;=<6s|fbd94?5|5oi:6?o8;ed9~wce72908w0hl0;3fa>;ak80::=52fed953653z?eg5<5i>16jik51b789ceb28on7p}ibg83>6}:nkl1=hk4=ga3>40734loi7?90:pbfb=839p1kli:3c4?8`cl3;h963ice82a`=z{ohn6=4<{619>bab=9?:0q~hlc;297~;ajl09m:52fea95f3<5oih6{tnjh1<7=t=g`g>7g034lon7?l5:?egg<6ml1vkll:1808`ek3;ni63ibe8225=:nmh1=;>4}rd`e?6=;r7mnn4=a69>bag=9j?01kmn:0gf?xuajk0;6>u2fc`95`c<5ohh6<8?;;ak00:ih5rsg`b>5<4s4lim7?je:?efg<6>916ji751728yv`d03:1?v3ib`81e2=:nm21=n;4=ga;>4cb3tymn44?:2y>bg?=9lo01kln:043?8`c03;=<6s|fb594?5|5oh26?o8;ed9~wcd?2908w0hm8;3fa>;aj00::=52fe5953653z?ef=<5i>16ji851b789ce128on7p}ib683>6}:nk=1=hk4=g`;>40734lo:7?90:pbf3=839p1kl8:3c4?8`c=3;h963ic482a`=z{oh=6=4<{619>ba3=9?:0q~hl4;297~;aj?09m:52fe695f3<5oi?66i10:n;5218c:>4d134;2ml4>b79>5im0:n;5218;e>4d134;2m<4>b79>516=4l6:0`4?87>jh0:n:5218`a>4d034;2ni4>b69>54d034;;?>4>b69>555528h<70??3082f2=:998m616==4d034;;<94>b79>556428h=70??0382f3=:99::6;anj0:n;52fg`95g0<5olj627mj54>b79>bc0=9k<01kh::0`5?87>i;0:n;5218`0>4d034;2o84>b99>bc1=9k<01<8=d;3a1>{t9?936=4={<356f<5i>16=;=7:0gf?xu6>:?1<74cb34;=?84=a69~w404<3:1>v3>62695`c<58<897?90:p535a2909w0?93g82a`=:9?9?6?o8;|q2265=838p1<8<3;3fa>;6>:>1=;>4}r357`<72;q6=;=j:0gf?871;:09m:5rs0475<5s4;=??4>ed9>535428<;7p}>62f94?4|58<8h7?je:?2264=:h=0q~?94683>7}:9?><6:;1=hk4=0406?7182wx=;=l:181871;j0:ih521712>7g03ty::9850;0x9403>3;ni63>62395<3535728on70?9308225=z{8<8n7>52z?226d=9lo01<8<0;0b3>{t9?>>6=4={<3500<6ml16=;=?:0;6?xu6>;l1<7>51728yv71;h0;6?u2171b>4cb34;=>k4=a69~w403<3:1>v3>65695`c<58<9j7?65:p534b2909w0?92d82a`=:9?8m6<8?;|q226?=838p1<8<9;3fa>;6>;o1>l94}r3506<72;q6=;:<:0gf?871:l0:585rs041f?6=:r7::?l51dg89405m3;=<6s|1714>5<5s4;=?:4>ed9>534e2;k<7p}>65094?4|587?je:?227d=90?0q~?92`83>7}:9?8j691v<8<6;296~;6>:<1=hk4=041e?4f?2wx=;:>:181871<80:ih52170b>4?23tymm;4?:3y>bg3=9j?01ko9:0gf?xua110;6?u2f8:95`c<5oh>6<8?;|qee0<72:q6j4652`589cd328i>70hn5;3fa>{tn0=1<7=t=g;4>4cb34l247?90:?ef1<6>91vko;:1808`>?38j;63ib282g0=:nh>1=hk4}rd:2?6=;r7m5;4>ed9>b<1=9?:01kl<:043?xuai:0;6>u2f8496d1<5oh96;aj;0::=5rsgc1>5<4s4l297<3:1?v3i9582a`=:n0?1=;>4=g`2>4073tymm<4?:2y>b<2=:h=01kl?:0a6?8`f93;ni6s|f8194?5|5o386619~wcg72908w0h63;0b3>;aio0:o852f`295`c7>53z?e=7<6ml16j4=517289cga28<;7p}i9g83>6}:n081>l94=gcf>4e234l2j7?je:pb<7=839p1k7>:0gf?8`>:3;=<63iad8225=z{o3n6=4<{c49>b52`589cgd28i>70h6d;3fa>{tn1l1<7=t=g:e>4cb34l2<7?90:?eef<6>91vk7l:1808`?n38j;63iac82g0=:n0i1=hk4}rd;a?6=;r7m4h4>ed9>b=`=9?:01kom:043?xua1k0;6>u2f9g96d1<5okj6c28on70h7e;354>;aih0::=5rsg;b>5<4s4l3h74=gc:>4073tym544?:2y>b=e=:h=01ko7:0a6?8`>13;ni6s|172g>5<5kr7::=j51dg894?>j3;i:63>98f95g0<5832<7?m6:?2=<2=9k<01<765;3a2>;610<1=o84=0;:3?7e>27:54651c4894?>13;i:63>98c95g0<5832o7?m6:?2=;61091=o84=0;bf?7e?27:5lj51c5894?>n3;i;63>9`395g1<583j?7?m8:?eb`<6j>16jkm51c589c`f28h<70hi8;3a3>;an?0:n:52fe095g0<5on:627mok4>b79>bfc=9k<01kmk:0`5?8`dk3;i:63icc82f3=:njk1=o84=ga:>4d134lh47?m6:?eg2<6j?16jn;51c489ce328h=70?69382f3=:90k965<5s4;=537>28on7p}>60494?4|58<::7?je:?225b=9?:0q~?92083>7}:9?8:61v<8>5;296~;6>8?1=hk4=0422?7182wx=;7g03ty::<:50;0x9406<3;ni63>6079536537a28on70?91581e2=z{8<957>52z?227?=9lo01<8>4;3:1>{t9?;86=4={<3556<6ml16=;?;:043?xu6>8o1<74cb34;==>4>949~w406:3:1>v3>60095`c<58<:?7?90:p537c2909w0?91e82a`=:9?;96?o8;|q2271=838p1<8=7;3fa>;6>881=4;4}r3554<72;q6=;?>:0gf?8719;0::=5rs042g?6=:r7::5<5s4;=>;4>ed9>5376283>7p}>60294?4|58<:<7?je:?2247=9?:0q~?91c83>7}:9?;i61v<8=5;296~;6>;?1=hk4=0424?7>=2wx=;>i:1818718o0:ih521733>4073ty::61d96d194?:3y>534328on70?90g82=0=z{8<;o7>52z?225e=9lo01<8?f;354>{t9?;36=4={<355=<6ml16=;>l:3c4?xu6>;91<74cb34;=619~w406?3:1>v3>60595`c<58<;n77}:n>:1=hk4=g:a>4073tym;n4?:2y>b26=:h=01k6n:0a6?8`0k3;ni6s|f7d94?5|5o619~wc1e2908w0h9f;0b3>;a000:o852f6`95`c53z?e2`<6ml16j;h517289c>>28<;7p}i7`83>6}:n?o1>l94=g:;>4e234lc49>b2?=9lo0q~h9c;297~;a>j0:ih52f7f9536<5o2<6<8?;|qe3=<72:q6j;m52`589c>128i>70h88;3fa>{tn?h1<7=t=g4a>4cb34l=o7?90:?e<3<6>91vk98:1808`1j38j;63i8482g0=:n>=1=hk4}rd5e?6=;r7m:l4>ed9>b3d=9?:01k6::043?xua??0;6>u2f7c96d1<5o2?628on70h9a;354>;a0=0::=5rsg56>5<4s4l=574=g:0>4073tym;94?:2y>b3>=:h=01k6=:0a6?8`0<3;ni6s|f7594?5|5o<<6619~wc142908w0h97;0b3>;a080:o852f6195`c53z?e23<6ml16j;9517289c>628<;7p}i7383>6}:n?<1>l94=g:3>4e234l<>7?je:pb33=839p1k8::0gf?8`1>3;=<63i818225=z{o=:6=4<{c49>b27=9lo0q~?:eg83>62|58?nj7?je:?2==0=9k<01<777;3a2>;61121=o84=0;;=?7e>27:55o51c4894??j3;i:63>99f95g0<5833j7?m6:?2==3=9k<01<77c;3a2>;611o1=o84=0;;6?7e>27:55:51c4894?>=3;i;63>98495g1<5832;7?m7:?2=<>=9k=01<769;3a3>;610k1=o94=0;:g?7e?27:54k51c5894?>93;i;63>98195g><5on96b69>bf`=9k=01kmj:0`4?8`dl3;i;63icc82f2=:nj31=o94=ga4>4d034lh97?m7:?ee3<6j?16jl;51c489cg328h=70hn3;3a2>;ai;0:n;52f`395g0<5ok;627m5h4>b79>bi3;i:63i9882f3=:9028616=4o=:0`;?8`>j3;i:63>5g295g3jo4?:3y>50ca2;k<70?:fc82a`=z{8?m47>52z?21c>=9lo01<;jf;354>{t9?:86=4={<3546<6ml16=8h7:3c4?xu6=o=1<74cb34;>j:4=a69~w43a>3:1>v3>5g495`c<58?m;7?90:p53662909w0?90082a`=:9;6=o<1=;>4}r3545<72;q6=;>?:0gf?872n<09m:5rs043e?6=:r7::=o51dg8943a=3;296s|14d7>5<5s4;>j94>ed9>50`228<;7p}>5gd94?4|58?mj7?je:?21c2=:h=0q~?90883>7}:9?:267g03ty::=650;0x940703;ni63>5g195<3j?4?:3y>50`528on70?:f28225=z{8?mh7>52z?21cb=9lo01<;i2;0b3>{t9?:<6=4={<3542<6ml16=8h=:0;6?xu6=o;1<74cb34;>j<4=a69~w407>3:1>v3>61495`c<58?m=7?65:p50cb2909w0?:ed82a`=:9;6=lo1>l94}r3540<72;q6=;>::0gf?872ml0:585rs07f`?6=:r7:9hj51dg8943bm3;=<6s|14d:>5<5s4;>j44>ed9>50cc2;k<7p}>61694?4|58<;87?je:?21`b=90?0q~h:7;296~;a>=0:o852f4595`c52z?e0g<6ml16j;:51728yv`2>3:1?v3i4c81e2=:n?91=n;4=g75>4cb3tym8l4?:2y>b1g=9lo01k:m:043?8`1;3;=<6s|f4794?5|5o>j6?o8;ed9~wc2>2908w0h;9;3fa>;a87>53z?e0<<5i>16j;?51b789c3328on7p}i4983>6}:n=21=hk4=g6:>40734l==7?90:pb05=839p1k:7:3c4?8`183;h963i5282a`=z{o><6=4<{619>b36=9?:0q~h:2;297~;a<>09m:52f4d95f3<5o?96{tn<;1<7=t=g65>7g034l>i7?l5:?e14<6ml1vk:::1808`3=3;ni63i478225=:n4}rd64?6=;r7m884=a69>b0b=9j?01k;?:0gf?xua<=0;6>u2f5695`c<5o>>6<8?;;a5<4s4l??7?je:?e01<6>916j8m51728yv`3m3:1?v3i4281e2=:n4cb3tym8?4?:2y>b14=9lo01k:<:043?8`2j3;=<6s|f5f94?5|5o>96?o8;ed9~wc262908w0h;1;3fa>;a<;0::=52f4c953653z?e04<5i>16j8751b789c2d28on7p}>5e394?41s4;>h<4>ed9>5<1b28h=70?68182f3=:90=<6?h0:n;52185a>4d134;2;n4>b79>5<1c28h=70?67g82f3=:902:60l0:n:5218:1>4d034;2494>b99>bd6=9k=01k7j:0`4?8`>k3;i;63i9`82f2=:n>n1=o84=g5`>4d134l;a??0:n;52f6795g0<5o=?627m;<4>b79>5<1228h=70?68282f2=:9039627:9i<51c78yv72lm0;6?u214f2>7g034;>hi4>ed9~w43ci3:1>v3>5ec95`c<58?o=7?90:p50c22909w0?:e482a`=:9;6=mk1=;>4}r36a1<72;q6=8k;:0gf?872l009m:5rs07g5<5s4;>i>4>ed9>50b?2;k<7p}>5e594?4|58?o;7?je:?21a>=9?:0q~?:e383>7}:91v<;jc;296~;6=li1=hk4=07g3?7>=2wx=8j9:181872l?0:ih5214f4>4073ty:9h?50;0x943b93;ni63>5e496d1io4?:3y>50ce28on70?:d782=0=z{8?o97>52z?21a3=9lo01<;k6;354>{t94cb34;>h84>619~w43cn3:1>v3>5ed95`c<58?o872909w0?:e882a`=:9;6=m>1=;>4}r36``<72;q6=8jj:0gf?872l:09m:5rs07f5<5s4;>h=4>ed9>50b428<;7p}>5ea94?4|58?oo7?je:?21a6=:h=0q~?:e683>7}:97g03ty:9h850;0x943b>3;ni63>5bd95<352z?e05<6k<16j>:51dg8yv`513:1>v3i2882a`=:n=:1=;>4}rd07?6=;r7m>44=a69>b6`=9j?01k=<:0gf?xua:10;6>u2f3:95`c<5o826<8?;<50;1x9c4?2;k<70h;a;;0:ih5rsg04>5<4s4l9;7?je:?e6=<6>916j>k51728yv`493:1?v3i2681e2=:n:n1=n;4=g12>4cb3tym>;4?:2y>b70=9lo01k<8:043?8`4l3;=<6s|f2294?5|5o8=6?o8;ed9~wc422908w0h=5;3fa>;a:?0::=52f2a953653z?e60<5i>16j>l51b789c4a28on7p}i2583>6}:n;>1=hk4=g06>40734l8n7?90:pb7c=839p1k<;:3c4?8`4i3;h963i2d82a`=z{o886=4<{94>619>b6g=9?:0q~h=d;297~;a::09m:52f2;95f3<5o8o6{tn;i1<7=t=g01>7g034l847?l5:?e6f<6ml1vk<>:1808`593;ni63i238225=:n:21=;>4}rd1f?6=;r7m><4=a69>b61=9j?01ku2f3295`c<5o8:6<8?;;a:h0:ih5rs07a`?6=:lq6=8lk:0gf?87>>k0:n;52184`>4d134;2:i4>b79>5<0b28h=70?66g82f3=:90=;6?80:n;521850>4d134;2:44>b79>5<1?28h<70?67882f2=:90=j616=49l:0`4?87>?m0:n:52185e>4d034;24<4>b69>5<1128h370h8d;3a3>;a?j0:n:52f6`95g1<5o=j6b69>b20=9k=01k9;:0`4?8`0:3;i;63i5682f3=:n<<1=o84=g76>4d134l>87?m6:?e16<6j?16j8<51c489c3628h=70h:0;3a2>;ah60:0:n552f5g95g0<58?ii7?m5:p50e?2909w0?:be81e2=:9;6=kn1=;>4}r36g2<72;q6=8m8:0gf?872jj09m:5rs07af?6=:r7:9ol51dg8943ek3;=<6s|14a5>5<5s4;>o;4>ed9>50de2;k<7p}>5cc94?4|58?im7?je:?21gd=9?:0q~?:c483>7}:961v<;m9;296~;6=k31=hk4=07ae?7182wx=8m;:181872k=0:ih5214`:>7g03ty:9nk50;0x943dm3;ni63>5c;95<3n54?:3y>50d?28on70?:b88225=z{8?h?7>52z?21f5=9lo01<;m8;0b3>{t94cb34;>n:4=a69~w43dk3:1>v3>5ba95`c<58?i;7?65:p50d12909w0?:b782a`=:9;6=k<1>l94}r36gg<72;q6=8mm:0gf?872j?0:585rs07a1?6=:r7:9o;51dg8943e>3;=<6s|14a3>5<5s4;>o=4>ed9>50d22;k<7p}>5bc94?4|58?hm7?je:?21g3=90?0q~?:b583>7}:991v<;mf;296~;6=kl1=hk4=07a0?4f?2wx=8m6:181872k00:ih5214`7>4?23tym=94?:3y>b4`=9j?01k?;:0gf?xua810;6?u2f1:95`c<5o;m6<8?;|qe56<72:q6j=652`589c7b28i>70h>3;3fa>{tn9=1<7=t=g24>4cb34l;47?90:?e5`<6>91vk?=:1808`7?38j;63i1e82g0=:n881=hk4}rd32?6=;r7m<;4>ed9>b51=9?:01k?k:043?xua980;6>u2f1496d1<5o;h6;a9j0::=5rsg33>5<4s4l;9751dg8yv`7<3:1?v3i0582a`=:n9?1=;>4=g3a>4073tymb52=:h=01k?n:0a6?8`7n3;ni6s|f1194?5|5o:86619~wc6b2908w0h?3;0b3>;a900:o852f1g95`c7>53z?e47<6ml16j==517289c7>28<;7p}i0e83>6}:n981>l94=g3;>4e234l;h7?je:pb57=839p1k>>:0gf?8`7:3;=<63i198225=z{o:h6=4<{c49>b5e=9lo0q~h?0;297~;a890:ih52f139536<5o;<6<8?;|qe4g<72:q6j=>52`589c7128i>70h?b;3fa>{tmol1<7=t=dde>4cb34l;<7?90:?e53<6>91vk>6:1808can38j;63i1482g0=:n9k1=hk4}r36e7<72;:p1<;n2;3fa>;61??1=o84=0;6a?7e?27:58h51c4894?183;i:63>97395g0<583=>7?m6:?2=35=9k<01<794;3a2>;61?<1=o84=0;527:5:?51c5894?0;3;i;63>97;95g?<5o?:6b99>b62=9k<01k=<:0`5?8`4:3;i:63i3082f3=:n::1=o84=g0e>4d134l9i7?m6:?e6a<6j?16j?l51c489c4f28h<70?66682f3=:90=?65<5s4;>m?4=a69>50gc28on7p}>5`394?4|58?j=7?je:?21d4=9?:0q~?:ab83>7}:91v<;n0;296~;6=h:1=hk4=07b5?7182wx=8om:181872ik0:ih5214c3>7g03ty:94h50;0x943>n3;ni63>5`29536ml4?:3y>50gf28on70?:9g81e2=z{8?2i7>52z?21{t94cb34;>5h4>619~w43f03:1>v3>5`:95`c<58?2h7;6=0n1=;>4}r36e2<72;q6=8o8:0gf?8721j09m:5rs07a5?6=:r7:9o?51dg8943>k3;296s|14;a>5<5s4;>5o4>ed9>50?d28<;7p}>5`494?4|58?j:7?je:?217}:97g03ty:9lh50;0x943fn3;ni63>58c95<3544?:3y>50?>28on70?:9`8225=z{8?j87>52z?21d2=9lo01<;69;0b3>{t96}:mlk1>l94=ddg>4e234om?7?je:pa`?=839p1hk6:0gf?8cbi3;=<63jfe8225=z{ll96=4<{c49>ac4=9lo0q~kj8;297~;bm10:ih52ed;9536<5llh6<8?;|qfb4<72:q6ih652`589``e28i>70ki1;3fa>{tml=1<7=t=dg4>4cb34on47?90:?fbg<6>91vhh?:1808cb?38j;63jf`82g0=:mo:1=hk4}rgf2?6=;r7ni;4>ed9>a`1=9?:01hhn:043?xubmo0;6>u2ed496d1<5ll26;bn00::=5rsdgf>5<4s4on974=dd;>4073tynii4?:2y>a`2=:h=01hh8:0a6?8cbl3;ni6s|ed194?5|5lo86619~w`cd2908w0kj3;0b3>;bn?0:o852eda95`c54`y>51b328hj70?;e`82f<=:9=o864d134;>>?4>b99>507f28h=70?:3782f==:9<8n6:0:n552147b>4d134;>;l4>b99>501628h=70?:9582f==:9<2j64d134;>i;4>b99>50be28h=70?90582f==:94d134;=:?4>b99>533128h=70?98582f==:9?=364d134;=j=4>b99>53c428h=70?81782f==:9>:26>0:n552167:>4d134;<5=4>b99>52>528h=70?8b`82f==:9>kh64d134;3?k4>b99>5=5628h=70?76d82f==:91?n64d134;3jo4>b99>5=cd28h=70?62b82f==:90;i6agd95gg<58kmo7?m8:?f<3<6jk16=lh6:0`4?xu6=121<7<7{<36<=<6ml16=4;;:0`5?87>=<0:n;521875>4d134;29:4>b79>5<3?28h=70?65882f3=:90?o6=o0:n:521843>4d034;2:<4>b69>5<0528h<70?66282f2=:9016=487:0`;?8`4<3;i;63i3282f2=:n:81=o94=g12>4d034l8<7?m7:?e6c<6j>16j?j51c589c4e28h<70h>4;3a2>;a9:0:n;52f0095g0<5o;:627mb79>b5c=9k<01k>l:0`5?8`7j3;i:63>94`95g0<583=;7?m7:?2=22=9k201k>k:0`5?872000:n85rs07:7?6=:r7:95652`58943>;3;ni6s|14:4>5<5s4;>4:4>ed9>50>?28<;7p}>58094?4|58?2>7?je:?21=1=:h=0q~?:8783>7}:9<2=691v<;61;296~;6=0;1=hk4=07;2?4f?2wx=86::1818720<0:ih5214:5>4073ty:94>50;0x943>83;ni63>59796d1494?:3y>50>328on70?:848225=z{8?3j7>52z?21=`=9lo01<;74;0b3>{t9<286=4={<36<6<6ml16=86;:043?xu6=1o1<74cb34;>4>4>949~w43?:3:1>v3>59095`c<58?3?7?90:p50>c2909w0?:8e82a`=:9<296?o8;|q21<1=838p1<;67;3fa>;6=181=4;4}r36<4<72;q6=86>:0gf?8720;0::=5rs07;g?6=:r7:95m51dg8943?938j;6s|14;5>5<5s4;>5;4>ed9>50>6283>7p}>59294?4|58?3<7?je:?21=7=9?:0q~?:8c83>7}:9<2i61v<;65;296~;6=0?1=hk4=07;4?7>=2wx=89i:181872?o0:ih5214:3>4073ty:95o50;0x943?i3;ni63>56d96d1594?:3y>50?328on70?:7g82=0=z{ln26=4={ed9~w`ea2909w0klf;3fa>;bm;0::=5rsdf;>5<4s4ohj74=dg2>4073tynh:4?:2y>afc=:h=01hk?:0a6?8cc?3;ni6s|ebf94?5|5lio6619~w`b12908w0kld;0b3>;blo0:o852ee495`c53z?fgf<6ml16inj517289`ba28<;7p}jd483>6}:mji1>l94=dff>4e234oo97?je:pafd=839p1hmm:0gf?8cdk3;=<63jdd8225=z{ln?6=4<{c49>aa2=9lo0q~kla;297~;bkh0:ih52eb`9536<5lno6<8?;|qf`6<72:q6ino52`589`bd28i>70kk3;3fa>{tmj31<7=t=da:>4cb34ohm7?90:?f`f<6>91vhj=:1808cd138j;63jdc82g0=:mm81=hk4}rg`ed9>af?=9?:01hjm:043?xubl90;6>u2eb:96d1<5lnj695a95g0<583?h7?m6:?2=1c=9k<01<7;f;3a2>;61<;1=o84=0;6e?7e?27:58m51c;89c6b28h<70h?c;3a<>;bn=0:n;52eg195g0<5ll9627nj=4>b79>a``=9k<01hkk:0`5?8cbk3;i;63>94295g0<583>n7?m7:?2=31=9k301hkj:0`5?872?90:n85rs074=?6=:r7:9;h52`58943013;ni6s|144f>5<5s4;>:h4>ed9>500a28<;7p}>56:94?4|58?<47?je:?213c=:h=0q~?:6e83>7}:9<91v<;87;296~;6=>=1=hk4=075`?4f?2wx=88l:181872>j0:ih52144g>4073ty:9:850;0x9430>3;ni63>57a96d1:o4?:3y>500e28on70?:6b8225=z{8?<97>52z?2123=9lo01<;9b;0b3>{t9<=n6=4={<363`<6ml16=88m:0;6?xu6=?k1<74cb34;>:l4=a69~w430l3:1>v3>56f95`c<58?=m7?65:p500>2909w0?:6882a`=:9<;6=?31>l94}r363f<72;q6=89l:0gf?872>00:585rs0755<5s4;>;?4>ed9>500?2;k<7p}>56`94?4|58?=90?0q~?:6683>7}:9<<<691v<;81;296~;6=>;1=hk4=0753?4f?2wx=89n:181872?h0:ih521444>4?23tynnk4?:3y>af1=9j?01hli:0gf?xubj?0;6?u2ec495`c<5li<6<8?;|qff`<72:q6io852`589`e128i>70kme;3fa>{tmk?1<7=t=d`6>4cb34oi:7?90:?fg3<6>91vhlk:1808ce=38j;63jc482g0=:mkn1=hk4}rga0?6=;r7nn94>ed9>ag3=9?:01hm::043?xubjj0;6>u2ec696d1<5li?6;bk=0::=5rsd`a>5<4s4oi?74=da0>4073tynnl4?:2y>ag4=:h=01hm=:0a6?8cei3;ni6s|ec394?5|5lh:6619~w`d>2908w0km1;0b3>;bk80:o852ec;95`c53z?ff5<6ml16io?517289`e628<;7p}jb683>6}:mk:1>l94=da3>4e234oi47?je:p503?2909>v3>54:95`c<583?m7?m6:?2=15=9k<01<7;4;3a2>;61=?1=o84=0;72?7e>27:59751c5894?303;i:63>94195g1<583?n7?m7:?2=1e=9k=01<7;d;3a3>;61=o1=o94=0;7b?7e?27:58?51c;89``328h<70ki3;3a3>;bn;0:n:52eg395g1<5ll;6b99>aa?=9k<01hj7:0`5?8cc?3;i:63jd782f3=:mm91=o84=df1>4d034;28:4>b79>5<3728h<70?65c82f<=:mm?1=o84=df7>4d134;>944>b49~w431:3:1>v3>54:96d1<58?=>7?je:p50302909w0?:5682a`=:9;6=<=1>l94}r3613<72;q6=8;9:0gf?872=>0::=5rs0754?6=:r7:9;>51dg89432>38j;6s|1476>5<5s4;>984>ed9>503128<;7p}>54d94?4|58?>j7?je:?2103=:h=0q~?:5583>7}:991v<;:e;296~;6=4073ty:98j50;0x9432l3;ni63>54196d1:;4?:3y>500128on70?:5282=0=z{8?>>7>52z?2104=9lo01<;:3;354>{t94cb34;>9?4>619~w432j3:1>v3>54`95`c<58?>=7;6=<;1=;>4}r361d<72;q6=8;n:0gf?872=909m:5rs0757?6=:r7:9;=51dg8943283;296s|e`:94?4|5lkm650;0x9`g728on70knf;354>{tmh=1<7=t=dc3>7g034oji7?l5:?fe2<6ml1vh7i:1808c>n3;ni63ja18225=:mho1=;>4}rgb2?6=;r7n5k4=a69>adb=9j?01ho9:0gf?xub1l0;6>u2e8g95`c<5l3m6<8?;;bi<0:ih5rsd;g>5<4s4o2h7?je:?f=`<6>916ilm51728yvcf<3:1?v3j9e81e2=:mhh1=n;4=dc7>4cb3tyn5n4?:2y>a4>ed9~w`?e2908w0k6b;3fa>;b1j0::=52e`c953653z?f=g<5i>16il751b789`g528on7p}>55394?73s4;>8<4>ed9>5<2628h<70?64382f3=:909h6;o0:n;521863>4d134;2854>b89>aa5=9k201hli:0`5?8cem3;i:63jbe82f3=:mki1=o84=d`a>4d134oim7?m6:?ff<<6j>16=4:8:0`4?87>=90:n4521461>4d23ty:99l50;0x9433938j;63>55`95`c8=4?:3y>502728on70?:408225=z{8??m7>52z?211g=9lo01<;;0;0b3>{t9<9m6=4={<367c<6ml16=8:?:043?xu6==31<7h52`58yv72;l0;6?u2141f>4cb34;>?k4>619~w43303:1>v3>55:95`c<58?8i7;6=:n1>l94}r367f<72;q6=8=l:0gf?872;m0::=5rs0772?6=:r7:99851dg89434k38j;6s|146e>5<5s4;>8k4>ed9>505d283>7p}>52`94?4|58?8n7?je:?216e=9?:0q~?:4483>7}:9<>>61v<;;e;296~;6==o1=hk4=070f?7>=2wx=8=n:181872;h0:ih52141a>4073ty:99:50;0x9433<3;ni63>52c96d18i4?:3y>502c28on70?:3`82=0=z{8?857>52z?216?=9lo01<;{t9<>86=4={<3606<6ml16=8=6:3c4?xu6==i1<7751878yvc><3:1>v3j9`82g0=:m0>1=hk4}rg;`?6=:r7n4i4>ed9>ac28<;70k69;354>{tm081<7=t=d:`>7g034o247?l5:?f=7<6ml1vh6m:1808c?j3;ni63j8b8225=:m021=;>4}rg:5?6=;r7n4o4=a69>a<1=9j?01h7>:0gf?xub0h0;6>u2e9c95`c<5l2i6<8?;50;1x9`>f2;k<70k66;3`1>;b190:ih5rsd::>5<4s4o357?je:?f916i4851728yvc?m3:1?v3j8881e2=:m0?1=n;4=d:e>4cb3ty:9?m50;3a872:j0:ih521c2`>4d134;i<:4>b79>5g6?28h=70?m0882f3=:9k:i616=4=l:0`4?87>;m0:n:52181f>4d034;2?k4>b69>5<2728h270kmf;3a3>;bjl0:n:52ecf95g1<5lhh6b99>ad>=9k<01ho8:0`5?8cf;3;i;63>95595g?<58h;m7?m6:?fe3<6j?16il;51c489`g328h=70?:2e82f0=z{8?897>52z?217e=:h=01<;<5;3fa>{t9<8i6=4={<366g<6ml16=81<74cb34;>>o4>619~w434;3:1>v3>52195`c<58?9m72909w0?:2882a`=:9<8j6<8?;|q2164=838p1<;<2;3fa>;6=;31>l94}r366=<72;q6=8<7:0gf?872:00::=5rs0705?6=:r7:9>?51dg89435038j;6s|1404>5<5s4;>>:4>ed9>504?28<;7p}>52294?4|58?8<7?je:?2171=:h=0q~?:3983>7}:9<9367g03ty:9>950;0x9434?3;ni63>53495<3>84?:3y>504228on70?:278225=z{8?9i7>52z?217c=9lo01<;=5;0b3>{t9<9=6=4={<3673<6ml16=8<::0;6?xub0>0;6>u2e8695g?<5l2368;29e~;6=821=hk4=d;7>4d134;i<>4>b79>5g6128h=70?m0382f3=:9k:?651c;894d7i3;i;63>50;95g3><4?:3y>507?2;k<70?:2082a`=z{8?:;7>52z?2141=9lo01<;>8;354>{t9<8;6=4={<3665<6ml16=8?8:3c4?xu6=8<1<74cb34;>=;4=a69~w436=3:1>v3>50795`c<58?::7?90:p507b2909w0?:1d82a`=:9<;>6?o8;|q2142=838p1<;>4;3fa>;6=8?1=;>4}r365a<72;q6=8?k:0gf?8729=09m:5rs0727?6=:r7:9<=51dg89436<3;=<6s|143`>5<5s4;>=n4>ed9>50742;k<7p}>53694?4|58?987?je:?2145=90?0q~?:1383>7}:9<;9691v<;>b;296~;6=8h1=hk4=0726?4f?2wx=8<<:181872::0:ih521431>4?23ty:95009536=l4?:3y>507f28on70?:1081e2=z{8?9>7>52z?2174=9lo01<;>1;3:1>{tm>l1<74cb34;jjh4>b99~w`>42909w0k70;3a2>;b0=0:ih5rsd:2>5<4s4o3<7?mb:?2ec`=9k301h6=:0gf?xu6=9?1<79t=0731?7bm27:mkh51c4894d793;i:63>agg95g0<5l2=69:0`6?xu6=9o1<74cb34;><84>619~w437l3:1>v3>51f95`c<58?;87;6=991>l94}r3647<72;q6=8>=:0gf?8728:0::=5rs073f?6=:r7:9=l51dg89437:38j;6s|1422>5<5s4;><<4>ed9>506528<;7p}>51c94?4|58?;m7?je:?2157=:h=0q~?:0183>7}:9<:;691v<;?9;296~;6=931=hk4=0734?4f?2wx=9hi:181873no0:ih521423>4073ty:9=650;0x943703;ni63>4gd96d1==4?:3y>507728on70?;fg82=0=z{8>mi7>52z?20cc=9lo01<:if;354>{t9<:<6=4={<3642<6ml16=9hj:3c4?xu6=9l1<7ag`95g?<5l2;64d034;jjo4>b79>a=6=9k=01;6ion1=o84=0ceg?7e>27n4?4>b89>51`428h>7p}>4g`94?4|58>m>77}:9=l:691v<:ia;296~;64073ty:8k750;0x942a13;ni63>4g296d151ca28on70?;f18225=z{8>m47>52z?20c>=9lo01<:jf;0b3>{t9=on6=4={<37a`<6ml16=9ki:043?xu64cb34;?ih4>619~w42a>3:1>v3>4g495`c<58>nh7;6l94}r37ba<72;q6=9hk:0gf?873mj0:585rs06ff?6=:r7:8hl51dg8942bk3;=<6s|15d7>5<5s4;?j94>ed9>51ce2;k<7p}>4ga94?4|58>mo7?je:?20`d=90?0q~?;e083>2}:9=o:616=lhm:0`a?87fnh0:n;521`d:>4d134o7}:9=o:6?o8;<37a<<6ml1v<:j0;296~;67g03ty:8ih50;0x942cn3;ni63>4d2953651c028on70?;dg81e2=z{8>oi7>52z?20ac=9lo01<:kf;354>{t9=o=6=4={<37a3<6ml16=9jj:3c4?xu64cb34;?hi4=a69~w42ck3:1>v3>4ea95`c<58>oh7?90:p51c32909w0?;e582a`=:9=nh6?o8;|q20ad=838p1<:kb;3fa>;64}r37a6<72;q6=9k<:0gf?873lk09m:5rs06fe?6=:r7:8ho51dg8942cj3;296s|15f1>5<2s4;?h?4>ed9>5g?e28h<70?nf982f3=:9hl26l94=06ge?7bm2wx=9j>:181873l80:ih5215f1>4073ty:8i750;0x942c13;ni63>4e396d151b728on70?;d08225=z{8>o47>52z?20a>=9lo01<:k0;0b3>{t9=im6=4={<37gc<6ml16=9j?:043?xu64cb34;?ok4>619~w42c>3:1>v3>4e495`c<58>hi7;6l94}r37gf<72;q6=9ml:0gf?873km0::=5rs06g0?6=:r7:8i:51dg8942dk38j;6s|1cgg>5<5s4;h=94>c49>5gcb28on7p}>bc694?4|58hi87?je:?2g42=9?:0q~?mec83>6}:9kh?6?o8;<3`56<6k<16=okl:0gf?xu6jk81<7=t=0`a6?7bm27:no:5172894e6;3;=<6s|1cg4>5<4s4;in?4=a69>5f7628i>70?me982a`=z{8hi=7>53z?2fg7=9lo01;6k8;1=;>4}r3aa0<72:q6=ol>:3c4?87d990:o8521cg5>4cb3ty:no>50;1x94de83;ni63>bc39536<58i:<7?90:p5gc42908w0?mb181e2=:9j:m6u21cce>7g034;h

c49>5gc528on7p}>b`g94?5|58hji7?je:?2fd`=9?:01{t9knm6=4<{<3ae`<5i>16=n>k:0a6?87em90:ih5rs0`b`?6=;r7:nlj51dg894dfm3;=<63>c1f95365ggc2;k<70?l0b82g0=:9knn6;6jhn1=;>4=0a3g?7182wx=ojm:18087eij09m:521b2a>4e234;ihn4>ed9~w4dfj3:1?v3>b``95`c<58hjo7?90:?2g5d=9?:0q~?md883>6}:9kki6?o8;<3`4d<6k<16=ojn:0gf?xu6jhk1<7=t=0`be?7bm27:nll5172894e7i3;=<6s|1cf4>5<4s4;iml4=a69>5f6>28i>70?md982a`=z{8hj57>53z?2fd?=9lo01;6k931=;>4}r3a`0<72:q6=oo6:3c4?87d810:o8521cf5>4cb3ty:nl950;1x94df?3;ni63>b`;9536<58i;47?90:p5gb62908w0?ma681e2=:9j:=6u21cc5>7g034;h<84>c49>5gb728on7p}>b`794?5|58hj97?je:?2fd0=9?:01{t9kio6=4<{<3ae0<5i>16=n>;:0a6?87ekl0:ih5rs0`b0?6=;r7:nl:51dg894df=3;=<63>c1695365gg32;k<70?l0282g0=:9kih6;6jh>1=;>4=0a37?7182wx=om6:18087ei:09m:521b21>4e234;iol4>ed9~w4df:3:1?v3>b`095`c<58hj?7?90:?2g54=9?:0q~?mc683>6}:9kk96?o8;<3`44<6k<16=om7:0gf?xu6jh;1<7=t=0`b5?7bm27:nl<5172894e793;=<6s|1ca6>5<4s4;im<4=a69>5f6728i>70?mc782a`=z{8hj<7>53z?2fd6=9lo01;6k9:1=;>4}r3ag6<72:q6=oo?:3c4?87eno0:o8521ca7>4cb3ty:n4h50;1x94d>n3;ni63>b`29536<58hmj7?90:p5ge62908w0?m9g81e2=:9kln6u21c;f>7g034;iji4>c49>5ge728on7p}>bcc94?5|58him7?je:?2f{t9kl26=4<{<3afd<5i>16=n?n:0a6?87enh0:ih5rs0`a=?6=;r7:no751dg894dei3;=<63>c0c95365gd>2;k<70?l1882g0=:9kl36=839p1;6jk31=;>4=0a2=?7182wx=oh::18087ej109m:521b3;>4e234;ij;4>ed9~w4de?3:1?v3>bc595`c<58hi47?90:?2g4>=9?:0q~?mf283>6}:9kh<6?o8;<3`52<6k<16=oh;:0gf?xu6jk<1<7=t=0`a2?7bm27:no95172894e6?3;=<6s|1cd2>5<4s4;in;4=a69>5f7128i>70?mf382a`=z{8hi97>53z?2fg3=9lo01;6k8<1=;>4}r3aac<72:q6=ol::3c4?87d9<0:o8521cd3>4cb3ty:no=50;1x94de;3;ni63>bc79536<58i:97?90:p5gc>2908w0?mb281e2=:9j;96u21cc;>7g034;h<:4>c49>5gb328on7p}>b8f94?5|58h2h7?je:?2fd>=9?:01{t9kho6=4<{<3a=a<5i>16=ohl:0a6?87ejl0:ih5rs0`:g?6=;r7:n4m51dg894d>l3;=<63>bga95365g?d2;k<70?mfc82g0=:9khh6=838p1<:;b;3fa>;6j=>1=o84}r3e66<72;q6=9;9:0gf?87e;j0:n:5rs0d1a?6=:r7:8;?51dg894d303;im6s|1g10>5<5s4;?:;4>ed9>5g2c28hj7p}>f2694?4|58>=;7?je:?2f1c=9kk0q~?i3483>7}:9=<36h0:ih521c72>4df3ty:j>650;0x9421j3;ni63>b4095gg512d28on70?m5282fd=z{8l:m7>52z?201b=9lo01{t9o;i6=4={<370`<6ml16=o;::0`b?xu6n8i1<74cb34;i9:4>b`9~w4`6m3:1>v3>44395`c<58h>47?ma:p5c7a2909w0?;5382a`=:9k?26;6j:31=oo4}r3e64<72;q6=9;;:0gf?87e;h0:nl5rs0d16?6=:r7:88;51dg894d4j3;im6s|1g07>5<5s4;?9:4>ed9>5g5c28hj7p}>f3794?4|58>>47?je:?2f6c=9kk0q~?i2783>7}:9=?264df3ty:j?750;0x9422k3;ni63>b5095ggl4?:3y>513c28on70?m4282fd=z{8l9n7>52z?200c=9lo01{t9o8h6=4={<371c<6ml16=o:9:0`b?xu6n;n1<74cb34;i844>b`9~w4`483:1>v3>47195`c<58h?m7?ma:p5c562909w0?;6582a`=:9k>i6;6j=i1=oo4=0;17?7ei2wx=k:<:187873j?0:ih521c1`>4d?34;i;n4>b79>515228h=7p}>f5g94?2|58>h=7?je:?2f=1=9k201;6<=:1=o64}r3e16<72=q6=9m9:0gf?87e0j0:n5521c6g>4d?34;?884>b99~w4`2<3:18v3>4b595`c<58h3h7?m8:?2f1c=9k201<:;6;3a<>{t9o?>6=4;{<37g=<6ml16=o6j:0`;?87e4d?3ty:j8850;6x942d13;ni63>b9d95g><58h><7?m8:?201>=9k20q~?i5683>1}:9=ij6:0`;?873<00:n55rs0d693;i463>b4095g><58>?m7?m8:p5c5>290?w0?;ab82a`=:9k39643a95g>51gb28on70?m9582f==:9k?>65<3s4;?n=4>ed9>5g?128h370?m5682f==:9=8m6p1<:m1;3fa>;6j0=1=o64=0`6>51c:8yv7a;o0;69u215`1>4cb34;i554>b99>5g3>28h370?;3082f==z{8l?<7>54z?20g5=9lo01;6j:31=o64=0606?7e02wx=k:>:187873j=0:ih521c5b>4d?34;i?l4>b99>515428h37p}>f5094?2|58>i97?je:?2f2d=9k201;6<:>1=o64}r3e01<72=q6=9l8:0gf?87e?m0:n5521c1g>4d?34;??;4>b99~w4`3=3:18v3>4c:95`c<58h{t9o>=6=4;{<37f<<6ml16=o9i:0`;?87e;o0:n552151;>4d?3ty:j9950;6x942ei3;ni63>b9295g><58h?<7?m8:?206?=9k20q~?i4983>1}:9=hi6:0`;?873;h0:n55rs0d7=?6=b5095g><58>8n7?m8:p5c2f290?w0?;be82a`=:9k28642f95g>51da28on70?m8482f==:9k>=65<3s4;?o?4>ed9>5g>?28h370?m4882f==:9=>:6p1<:l3;3fa>;6j131=o64=0`7e?7e027:89<51c:8yv7a=80;69u215a7>4cb34;i4l4>b99>5g2e28h370?;4282fd=z{8l>>7>55z?20f3=9lo01;6<=>1=o84=0`7g?7e027:5?=51c:8yvc4j3:1?v3>3gg95`c<58>j=7?m6:?2f31=9k<0q~k;0;297~;6<991=hk4=0`5g?7ej27:8l851c;8yvc393:1?v3>41695`c<58h=h7?mb:?20d1=9k20q~k;2;297~;6<9?1=hk4=0`5a?7ej27:8l651cc8yvc3;3:1?v3>41495`c<58h=j7?mb:?20d?=9k20q~k;4;297~;6<9=1=hk4=0`44?7ej27:8lo51cc8yvc3=3:1?v3>41:95`c<58h<=7?mb:?20dd=9k20q~k=7;297~;6;lk1=hk4=0`46?7ej27:85l51cc8yvc503:1?v3>3d`95`c<58h3df95`c<58h<97?mb:?20=c=9k20q~k=b;297~;6;lo1=hk4=0`42?7ej27:85h51cc8yvc5k3:1?v3>3dd95`c<58h<;7?mb:?20<6=9k20q~k=d;297~;6;o:1=hk4=0`43g395`c<58h>m7?mb:?20<4=9k20q~k=f;297~;6;o81=hk4=0`6f?7ej27:84=51cc8yvc483:1?v3>3g195`c<58h>o7?mb:?20<2=9k20q~k<1;297~;6;o>1=hk4=0`6`?7ej27:84951cc8yvc4:3:1?v3>3g795`c<58h>i7?mb:?20<>=9k20q~k<3;297~;6;o<1=hk4=0`6b?7ej27:84751cc8yvc4<3:1?v3>3g595`c<58h=<7?mb:?203:1?v3>3g;95`c<58h=>7?mb:?203g`95`c<58h=87?mb:?203gf95`c<58h=:7?mb:?20d6=9kk0q~k41295`c<58h=57?mb:?20d5=9kk0q~k41095`c<58>j97?ma:?2f3d=9k=0q~k=1;290~;6;h<1=hk4=0cfb?7e>27:8l851c58945b<3;i:6s|e3094?5|589j;7?je:?2e`c=9k=01<=j5;3a=>{tm;91<7:t=01b3d495g>53z?27d?=9lo01;6;l=1=oo4}rg11?6=4`c95g?<589n47?m8:pa70=839p1<=nb;3fa>;6ilk1=oo4=01f=?7ei2wxi=750;6x945?m3;ni63>ad;95g><58>3n7?m9:?27fg=9k20q~k?a;297~;6;1l1=hk4=0cf38295`c<58kn;7?m8:?20=b=9k301<=lc;3a<>{tm9i1<7=t=01:5?7bm27:mh;51cc8945dl3;im6s|e1f94?2|5892>7?je:?2e`2=9k201<:7f;3a=>;6;jo1=o64}rg3a?6=;r7:?4=51dg894gb;3;im63>3bd95gg54z?27<2=9lo01;6<0;1=o74=01g4?7e02wxi<>50;1x945>=3;ni63>ad395gg<589o=7?ma:pa47=83>p1<=66;3fa>;6il:1=o64=06:7?7e127:?i<51c:8yvc6:3:1?v3>38595`c<58koj7?ma:?27a5=9kk0q~k>3;290~;6;021=hk4=0cga?7e027:84951c;8945c<3;i46s|e0694?5|589257?je:?2eab=9kk01<=k5;3ae>{tm8?1<7:t=01:e?7bm27:mim51c:8942>13;i563>3e495g>53z?27;6;m=1=oo4}rg23?6=48`95g?<589o47?m8:pa4>=839p1<=6d;3fa>;6io<1=oo4=01g=?7ei2wxi<750;6x945>m3;ni63>ag795g><58>2h7?m9:?27ag=9k20q~k>a;297~;6;0l1=hk4=0ce0?7ei27:?il51cc8yvc6j3:18v3>3`295`c<58km?7?m8:?20<`=9k301<=kc;3a<>{tm8i1<7:t=01b5?7bm27:mk<51c:8942f83;i563>3ef95g>54z?27d4=9lo01;6ad495g><58>j?7?m9:?27`7=9k20q~k>f;290~;6;h>1=hk4=0cgf?7e027:8l:51c;8945b:3;i46s|e3294?2|589j97?je:?2ec6=9k201<=j3;3a<>;601?1=o64}rg30?6==r7:?9j51dg894gc93;i:63>adg95g><589n97?m7:?27=?=9k<0q~k?5;290~;6;=o1=hk4=0cg4?7e127:?5o51c;894gbl3;im6s|e1494?3|589?j7?je:?2e`e=9k201<=j7;3a=>;6ijl1=ol4=01;f?7e02wxi=950;1x945283;ni63>abg95gg<5893o7?ma:pa5>=83?p1<=:1;3fa>;6ijn1=o64=0cfe?7e027:?h751c;8945?l3;i46s|dda94?5|589897?je:?2efe=9kk01<=9f;3ae>{tlln1<7;t=0102?7bm27:mnl51c:894gb03;i463>3b`95g?<589<<7?m8:p``c=839p1<=<7;3fa>;6ijk1=oo4=0145?7ei2wxhhh50;7x945403;ni63>ab:95g><58kn97?m8:?27fb=9k301<=82;3a<>{tlo:1<7=t=010=?7bm27:mn951cc89450;3;im6s|dg394?3|5898m7?je:?2ef0=9k201;6;jl1=o74=0140?7e02wxhk<50;1x9454j3;ni63>ab795gg<589<97?ma:p`c5=83?p1<=;6ij>1=o64=0cf5?7e027:?i?51c;89450>3;i46s|dg694?5|5898h7?je:?2ef5=9kk01<=87;3ae>{tlo?1<7;t=010a?7bm27:mn<51c:894gcn3;i463>3e195g?<589<47?m8:p`c0=839p1<=;6ij;1=oo4=014=?7ei2wxhk950;7x945383;ni63>ab295g><58koh7?m8:?27a3=9k301<=8a;3a<>{tlo21<7=t=0175?7bm27:moh51cc89450j3;im6s|dg;94?3|589?>7?je:?2egb=9k201;6;m=1=o74=014g?7e02wxhko50;1x9453;3;ni63>ae;95gg<589;6im21=o64=0ce2?7e027:?i751c;89450m3;i46s|dga94?5|589?97?je:?2ea1=9kk01<=8f;3ae>{tlon1<7;t=0172?7bm27:mi851c:894ga<3;i463>3e`95g?<5893<7?m8:p`cc=839p1<=;7;3fa>;6im?1=oo4=01;5?7ei2wxhkh50;1x945303;ni63>ae695gg<5893>7?ma:pa56=839p1<=;9;3fa>;6im91=oo4=01;7?7ei2wxi=?50;1x9453i3;ni63>ab;95gg<589387?ma:pa54=839p1<=;b;3fa>;6iko1=oo4=01;1?7ei2wxi==50;1x9453k3;ni63>ae095gg<5893:7?ma:p``>=83;6ik91=o94=0cg4?7e?27:?5o51c:8945493;i;63>adf95g?54z?26c>=9lo01;6;:81=o74=0c`b?7ei2wxhho50;7x944a13;ni63>abg95g><5893o7?m9:?2eg7=9kh01<=<3;3a<>{tllh1<7=t=00ee?7bm27:mo>51cc89454<3;im6s|de294?3|588oj7?je:?2ed`=9k201;6;?l1=o74=0123?7e02wxhi?50;1x944b83;ni63>a`g95gg<589:47?ma:p`a4=83?p1<;6ihn1=o64=0c`e?7e027:?:?51c;8945613;i46s|de194?5|588n>7?je:?2ede=9kk01<=>a;3ae>{tlm>1<7;t=00f7?7bm27:mll51c:894gd?3;i463>36195g?<589:n7?m8:p`a3=839p1<;6ihk1=oo4=012g?7ei2wxhi850;7x944b=3;ni63>a`;95g><58kh97?m8:?2723=9k301<=>d;3a<>{tlm=1<7=t=00f2?7bm27:ml651cc89456m3;im6s|de:94?3|588n;7?je:?2ed1=9k201;6;>=1=o74=012b?7e02wxhi750;1x944b03;ni63>a`495gg<5899<7?ma:p`ag=83?p1<;6ih?1=o64=0c`5?7e027:?:751c;8945593;i46s|de`94?5|588nm7?je:?2ed2=9kk01<==2;3ae>{tlmi1<7;t=00ff?7bm27:ml=51c:894gen3;i463>36`95g?<5899?7?m8:p`ab=839p1<;6ih;1=oo4=0110?7ei2wxhik50;7x944bl3;ni63>aca95g><58ko57?m8:?272b=9k301<==5;3a<>{tlml1<7=t=00fa?7bm27:mol51cc89455>3;im6s|dd294?3|588nj7?je:?2egg=9k201;6;>l1=o74=0113?7e02wxhh?50;1x944a83;ni63>ac;95gg<589947?ma:p``4=83?p1<;6ik21=o64=0cg1?7e027:?5?51c;8945513;i46s|dd194?3|588m>7?je:?2eg1=9k201;6;181=o74=011e?7e02wxhh:50;7x944a;3;ni63>ac495g><58ko?7?m8:?27=5=9k301<==b;3a<>{tll?1<7;t=00e0?7bm27:mo;51c:894gd13;i463>39695g?<5899o7?m8:p``0=83?p1<;6ih81=o64=0caa?7e027:?5;51c;89455l3;i46s|dd594?3|588m:7?je:?2eg2=9k201;6;1<1=o74=011a?7e02wxhnj50;4x944f?3;ni63>a8595g0<58ki>7?m8:?2764=9k=01<;6ijl1=o64}rf`a?6=l651dg894g>>3;i;63>2ef95g?<58ki=7?ma:p`f`=83?p1<;6ik:1=o64=0100?7e127:m4;51c`8944cm3;i46s|dc794?5|5883j7?je:?2e<2=9kk01<{tlk<1<7;t=00:4?7bm27:m4=51c:894gfm3;i463>30:95g?<588h97?m8:p`g1=839p1<<61;3fa>;6i081=oo4=00`2?7ei2wxho650;7x944>:3;ni63>a8395g><58kjo7?m8:?274g=9k301<{tlk31<7=t=00:7?7bm27:m4>51cc8944d03;im6s|dcc94?3|588287?je:?2e=`=9k201;6;8i1=o74=00`=?7e02wxhol50;1x944>=3;ni63>a9g95gg<588hm7?ma:p`ge=83?p1<<66;3fa>;6i1n1=o64=0cb{tlko1<7;t=00:3;i463>33295g?<588hh7?m8:p`g`=839p1<<69;3fa>;6i1k1=oo4=00`a?7ei2wxhn>50;7x944>i3;ni63>a9;95g><58kj87?m8:?2774=9k301<{tlj;1<7=t=00:f?7bm27:m5651cc8944c83;im6s|db094?3|5882o7?je:?2e=0=9k201;6;;>1=o74=00g5?7e02wxhn=50;1x944>l3;ni63>a`295gg<588o>7?ma:p`f2=83?p1<<6e;3fa>;6i0l1=o64=0caf?7e027:??851c;8944c;3;i46s|db794?5|5882j7?je:?2e{tlj<1<7;t=00b4?7bm27:m4j51c:894ge13;i463>33:95g?<588o97?m8:p`f1=839p1<;6i0i1=oo4=00g2?7ei2wxhn650;1x944f:3;ni63>a8`95gg<588o;7?ma:p`f?=839p1<;6i0k1=oo4=00ga8;95gg<588o57?ma:p`fd=839p1<;6i1=1=oo4=00ge?7ei2wxhnm50;1x944f>3;ni63>a8:95gg<588on7?ma:p`g5=83;6i>i1=o84=0c:2?7e027:>ij51c58944?l3;i:63>ac395g>54z?260>=9lo01;6:1o1=o74=0c:1?7ei2wxh4l50;7x9444n3;ni63>a8695g><588h87?m9:?2e2g=9kh01<<83;3a<>{tl0i1<7=t=0074?7bm27:m:751cc89440<3;im6s|d8f94?3|588?=7?je:?2e2>=9k201;6:j<1=o74=0041?7e02wxh4k50;1x9443:3;ni63>a6595gg<588<:7?ma:p`<`=83?p1<<;3;3fa>;6i><1=o64=0c:4?7e027:>n651c;89440?3;i46s|d`294?5|588?87?je:?2e23=9kk01<<88;3ae>{tlh;1<7;t=0071?7bm27:m::51c:894g?m3;i463>2bc95g?<588<57?m8:p`d4=839p1<<;6;3fa>;6i>91=oo4=004e?7ei2wxhl=50;7x9443?3;ni63>a6095g><58k3o7?m8:?26fe=9k301<<8b;3a<>{tlh>1<7=t=007;6:jo1=o74=004`?7e02wxhl850;1x9443i3;ni63>a7d95gg<588;6i?o1=o64=0c;i>51c;89440n3;i46s|d`:94?5|588?o7?je:?2e3e=9kk01<<70;3ae>{tlh31<7;t=007`?7bm27:m5;51c:894gf83;i463>2e095g?<5883=7?m8:p`dg=839p1<<;e;3fa>;6i1>1=oo4=00;6?7ei2wxhll50;7x9443n3;ni63>a9195g><58k2i7?m8:?26a2=9k301<<73;3a<>{tlhi1<7=t=0064?7bm27:m5<51cc8944?<3;im6s|d`f94?3|588>=7?je:?2e=7=9k201;6:m<1=o74=00;1?7e02wxhlk50;7x9442:3;ni63>a9295g><58k2n7?m8:?26a1=9k301<<76;3a<>{tlhl1<7;t=0067?7bm27:m:h51c:894g>i3;i463>2e:95g?<5883;7?m8:p`g6=83?p1<<:4;3fa>;6i>o1=o64=0c:=?7e027:>i751c;8944?03;i46s|dc394?3|588>97?je:?2e3b=9k201;6:mk1=o74=00;=?7e02wxho<50;7x9442>3;ni63>a6f95g><58k247?m8:?26ad=9k301<<7a;3a<>{tl0k1<78t=003e?7bm27:m;<51c4894g0j3;i463>29g95g1<5888i7?m6:?2e<3=9k20q~j72;290~;69o81=hk4=0c55?7e?27:>?:51c;894g0i3;im6s|d9194?3|58;m?7?je:?2e2?=9k201<<84;3a=>;6i?:1=ol4=0011?7e02wxh5:50;1x947a<3;ni63>a4d95gg<5889:7?ma:p`=3=83?p1;6i:851c;89445?3;i46s|d9494?5|58;m:7?je:?2e0b=9kk01<<=8;3ae>{tl1=1<7;t=03e3?7bm27:m8m51c:894g0=3;i463>26:95g?<588957?m8:p`=>=839p1;6ia4c95g><58k{tl1k1<7=t=03ee?7bm27:m8751cc89445k3;im6s|d9`94?3|58;mn7?je:?2e0>=9k201;6:>i1=o74=001`?7e02wxh5m50;1x947ak3;ni63>a4595gg<5889i7?ma:p`=b=83?p1;6i<<1=o64=0c5b?7e027:>:k51c;89445n3;i46s|d9g94?5|58;mi7?je:?2e03=9kk01<<<0;3ae>{tl1l1<7;t=03eb?7bm27:m8=51c:894g1k3;i463>29295g?<5888=7?m8:p`<6=839p1<;6i?h1=oo4=0006?7ei2wxh4?50;7x944793;ni63>a7c95g><58k387?m8:?26=4=9k301<<<3;3a<>{tl081<7=t=0036?7bm27:m;751cc89444<3;im6s|d8194?3|588;?7?je:?2e3>=9k201;6:1>1=o74=0001?7e02wxh4:50;1x9447<3;ni63>a7595gg<5888:7?ma:p`<3=839p1<;6i?<1=oo4=0003?7ei2wxh4850;1x9447>3;ni63>a7795gg<588847?ma:p`<1=839p1<;6i?>1=oo4=000=?7ei2wxh4650;1x944703;ni63>a4695gg<5888m7?ma:p`;6i?91=oo4=000f?7ei2wxh;o50;4x947f03;ni63>a5;95g0<58k==7?m8:?2672=9k=01;6i>k1=o64}rf5f?6=1e;95g?<58k=<7?ma:p`3e=83?p1;6i{tl?o1<7;t=03bg?7bm27:m9;51c:894g2l3;i463>23:95g?<58;oo7?m8:p`3`=839p1;6i=>1=oo4=03g`?7ei2wxh:>50;7x947fm3;ni63>a5195g><58k>n7?m8:?267g=9k301{tl>;1<7=t=03bb?7bm27:m9<51cc8947cn3;im6s|d6094?3|58;i<7?je:?2e17=9k201;6:;i1=o74=03f4?7e02wxh:=50;1x947e93;ni63>a5295gg<58;n=7?ma:p`22=83?p1;6i:l1=o64=0c63?7e027:>?k51c;8947b:3;i46s|d6794?5|58;i?7?je:?2e6c=9kk01{tl><1<7;t=03a0?7bm27:m>j51c:894g2=3;i463>22295g?<58;n87?m8:p`21=839p1;6i:h1=oo4=03f1?7ei2wxh:650;7x947e>3;ni63>a4095g><58k=n7?m8:?2664=9k301{tl>31<7=t=03a3?7bm27:m8?51cc8947b?3;im6s|d6c94?3|58;i47?je:?2e06=9k201;6::>1=o74=03fa5d95gg<58;n57?ma:p`2e=83?p1;6i=o1=o64=0c53?7e027:>>851c;8947bi3;i46s|d6f94?3|58;in7?je:?2e1b=9k201;6::=1=o74=03ff?7e02wxh:k50;7x947ek3;ni63>a5a95g><58k=97?m8:?266>=9k301{tl>l1<7;t=03a`?7bm27:m9l51c:894g1<3;i463>22;95g?<58;nh7?m8:p`=6=83?p1;6i:i1=o64=0c60?7e027:>>o51c;8947bm3;i46s|d9394?3|58;ij7?je:?2e1g=9k201;6::h1=o74=03fb?7e02wxh8=50;4x947193;ni63>a2395g1<58k?47?m7:?25a?=9k201;6i?:1=o74}rf60?6=18095g?<58k?;7?ma:p`03=83?p1;6i=<1=o64=03gf?7e127:m?h51c`8947>;3;i46s|d4494?5|58;=87?je:?2e7c=9kk01{tl<=1<7;t=0351?7bm27:m?j51c:894g3<3;i463>1ef95g?<58;297?m8:p`0>=839p1;6i;i1=oo4=03:2?7ei2wxh8750;7x9471?3;ni63>a3`95g><58k?>7?m8:?25a`=9k301{tl03;im6s|d4`94?3|58;=57?je:?2e7?=9k201;69l;1=o74=03:=?7e02wxh8m50;1x9471i3;ni63>a3:95gg<58;2m7?ma:p`0b=83?p1;6i;=1=o64=0c0a?7e027:=h=51c;8947>j3;i46s|d4g94?5|58;=o7?je:?2e70=9kk01{tl1d795g?<58;2h7?m8:p`36=839p1;6i:k1=oo4=03:a?7ei2wxh;?50;7x9471n3;ni63>a2;95g><58k>=7?m8:?25`1=9k301{tl?81<7=t=0344?7bm27:m>651cc8947f83;im6s|d7194?3|58;<=7?je:?2e61=9k201;69l31=o74=03b5?7e02wxh;:50;1x9470:3;ni63>a2495gg<58;j>7?ma:p`33=839p1;6i:?1=oo4=03b7?7ei2wxh;850;1x9470<3;ni63>a2695gg<58;j87?ma:p`31=839p1;6i:91=oo4=03b1?7ei2wxh;650;1x9470>3;ni63>a3795gg<58;j:7?ma:p`3?=839p1;6i:81=oo4=03b3?7ei2wxh>j50;4x947583;ni63>a0c95g1<58k8<7?m7:?25<4=9k201;6i==1=o74}rf0a?6=15a95g?<58k9j7?ma:p`6`=83?p1;6i;o1=o64=03:0?7e127:m<651c`89473l3;i46s|d5294?5|58;9?7?je:?2e41=9kk01{tl=;1<7;t=0310?7bm27:m<851c:894g5k3;i463>18495g?<58;?j7?m8:p`14=839p1;6i8?1=oo4=0364?7ei2wxh9=50;7x9475>3;ni63>a0695g><58k9m7?m8:?25<>=9k301{tl=>1<7=t=0313?7bm27:m<=51cc89472:3;im6s|d5794?3|58;947?je:?2e44=9k201;690k1=o74=0367?7e02wxh9850;1x947513;ni63>a0395gg<58;>87?ma:p`11=83?p1;6i8:1=o64=0c12?7e027:=4m51c;89472=3;i46s|d5:94?5|58;9n7?je:?2e5c=9kk01{tl=31<7;t=031g?7bm27:m?=51c:894g4i3;i463>18g95g?<58;>;7?m8:p`1g=839p1;6i;81=oo4=036a3395g><58k847?m8:?25d6=9k301{tl=i1<7=t=031b?7bm27:m?>51cc89472i3;im6s|d5f94?3|58;8<7?je:?2e4`=9k201;69h81=o74=036f?7e02wxh9k50;7x947493;ni63>a0g95g><58k897?m8:?25d5=9k301{tl=l1<7;t=0306?7bm27:m1`695g?<58;>h7?m8:p`06=83?p1;6i8i1=o64=0c07?7e027:=l;51c;89472m3;i46s|d4394?3|58;887?je:?2e5`=9k201;69h<1=o74=036b?7e02wxh8<50;7x9474=3;ni63>a0`95g><58k8>7?m8:?25d1=9k301{tl;21<78t=02f6?7bm27:m=:51c5894g613;i;63>15a95g><58;;n7?m7:?2e7`=9k30q~j=9;290~;68l91=hk4=0c37?7e127:==m51c;894g603;im6s|d3c94?3|58:n87?je:?2e41=9k201;6i981=ol4=033`?7e02wxh?l50;1x946b=3;ni63>a1395gg<58;;i7?ma:p`7e=83?p1<>j6;3fa>;6i9:1=o64=0c21?7e027:=8>51c;89477n3;i46s|d3f94?5|58:n;7?je:?2=c`=9kk010;3ae>{tl;o1<7;t=02f14095g?<58;:=7?m8:p`7`=839p1<>j9;3fa>;61on1=oo4=0326?7ei2wxh>>50;7x946bi3;ni63>9ga95g><58k:=7?m8:?2502=9k3013;3a<>{tl:;1<7=t=02ff?7bm27:5kl51cc89476<3;im6s|d2094?3|58:no7?je:?2=c?=9k201;69<<1=o74=0321?7e02wxh>=50;1x946bl3;ni63>a1f95gg<58;::7?ma:p`62=83?p1<>je;3fa>;6i9i1=o64=0c16?7e027:=8651c;89476?3;i46s|d2794?5|58:nj7?je:?2e5d=9kk018;3ae>{tl:<1<7;t=02e4?7bm27:m=o51c:894g583;i463>14c95g?<58;:57?m8:p`61=839p1<>i1;3fa>;6i931=oo4=032e?7ei2wxh>650;1x946a:3;ni63>a1:95gg<58;:n7?ma:p`6?=839p1<>i3;3fa>;6i9=1=oo4=032g?7ei2wxh>o50;1x946a<3;ni63>a1495gg<58;:h7?ma:p`6d=839p1<>i5;3fa>;61ok1=oo4=032a?7ei2wxh>m50;1x946a>3;ni63>a1795gg<58;:j7?ma:p`42=83n7;3fa>;61ll1=o94=0c37?7e?27:==m51c:8946dm3;i;63>a0:95g?54z?24d>=9lo01<7je;3a3>;68jl1=o74=0c36?7ei2wxh<850;7x946f13;ni63>a1395g><58;;i7?m9:?2=`b=9kh01<>k0;3a<>{tl8=1<7=t=02be?7bm27:5hm51cc8946c93;im6s|d0:94?3|58:jn7?je:?2=`d=9k201<7if;3a<>;698:1=o74=02g6?7e02wxh<750;1x946fk3;ni63>9dc95gg<58:o?7?ma:p`4g=83?p1<>nd;3fa>;61l31=o64=0;e`?7e027:=<<51c;8946c<3;i46s|d0`94?5|58:ji7?je:?2=`>=9kk01<>k5;3ae>{tl8i1<7;t=02bb?7bm27:5h951c:894?aj3;i463>10695g?<58:o:7?m8:p`4b=839p1<>m0;3fa>;61l?1=oo4=02g3?7ei2wxh9g:95g><58k;h7?m8:?2540=9k301<>k8;3a<>{tl8l1<7=t=02a6?7bm27:5k951cc8946c13;im6s|d3294?3|58:i?7?je:?2=c0=9k201;69821=o74=02ge?7e02wxh??50;1x946e<3;ni63>9g795gg<58:on7?ma:p`74=83?p1<>m5;3fa>;61o>1=o64=0c3=?7e027:=;698h1=o74=02g`?7e02wxh?:50;7x946e?3;ni63>9g095g><58k;;7?m8:?254e=9k301<>ke;3a<>{tl;?1<7;t=02a3;i463>10f95g?<58:oj7?m8:p`70=83?p1<>m9;3fa>;61l<1=o64=0;ee?7e027:=;698l1=o74=02f5?7e02wxh=?50;4x9461n3;ni63>9e`95g0<583ni7?m8:?24f`=9k=01<>64;3a2>;6i981=o64}rf36?6=51dg894?ci3;i563>08795g?<583nh7?ma:p`55=83?p1<>81;3fa>;61li1=o64=02g5?7e127:5i751c`8946>>3;i46s|d1694?5|58:<>7?je:?2=a>=9kk01<>67;3ae>{tl9?1<7;t=0247?7bm27:5i951c:894?bi3;i463>0e195g?<58:247?m8:p`50=839p1<>84;3fa>;61m<1=oo4=02:=?7ei2wxh=950;7x9460=3;ni63>9e795g><583n47?m8:?24a3=9k301<>6a;3a<>{tl921<7=t=0242?7bm27:5i:51cc8946>j3;im6s|d1;94?3|58:<;7?je:?2=a4=9k201<7j5;3a<>;68m=1=o74=02:g?7e02wxh=o50;1x946003;ni63>9d695gg<58:2h7?ma:p`5d=83?p1<>89;3fa>;61l91=o64=0;e3?7e027:m3;i46s|d1a94?5|58:6f;3ae>{tl9n1<7;t=024f?7bm27:5h?51c:894?a=3;i463>0e`95g?<58:j<7?m8:p`5c=839p1<>8c;3fa>;61l:1=oo4=02b5?7ei2wxh=h50;1x9460l3;ni63>9ed95gg<58:j>7?ma:p`46=839p1<>8e;3fa>;61mo1=oo4=02b7?7ei2wxh9ef95gg<58:j87?ma:p`44=839p1<>70;3fa>;61m91=oo4=02b1?7ei2wxh<=50;1x946?93;ni63>9ea95gg<58:j:7?ma:pg``=83<7;3fa>;61j=1=o94=0;ge?7e?27:<4;51c:89462l3;i;63>9df95g?54z?246>=9lo01<7l6;3a3>;689e:95g><58:2;7?m9:?2=f3=9kh01<>:f;3a<>{tko81<7=t=020e?7bm27:5n:51cc8946183;im6s|cg194?3|58:8n7?je:?2=f5=9k201<7k6;3a<>;68031=o74=0255?7e02wxok:50;1x9464k3;ni63>9b095gg<58:=>7?ma:pgc3=83?p1<>;61j;1=o64=0;g0?7e027:<4l51c;89461;3;i46s|cg494?5|58:8i7?je:?2=f6=9kk01<>94;3ae>{tko=1<7;t=020b?7bm27:5i?51c:894?b<3;i463>08f95g?<58:=97?m8:pgc>=839p1<>;0;3fa>;61m:1=oo4=0252?7ei2wxok750;7x946393;ni63>9bd95g><583n>7?m8:?24<`=9k301<>97;3a<>{tkok1<7=t=0276?7bm27:5nk51cc8946103;im6s|cg`94?3|58:??7?je:?2=fb=9k201<7j0;3a<>;68h;1=o74=025=?7e02wxokm50;7x9463<3;ni63>9ba95g><583oj7?m8:?24d4=9k301<>9a;3a<>{tkon1<7;t=0271?7bm27:5nl51c:894?cm3;i463>0`195g?<58:=n7?m8:pgcc=83?p1<>;6;3fa>;61jk1=o64=0;g`?7e027:;68h?1=o74=025`?7e02wxh=>50;7x946303;ni63>9b:95g><583oo7?m8:?24d0=9k301<>9e;3a<>{tkmo1<78t=0231?7bm27:5o;51c4894?d>3;i463>04g95g1<58:9:7?m6:?2=a?=9k20q~mkf;290~;689<1=hk4=0;a0?7e127:90;3a=>;61k91=ol4=0219c095gg<58:957?ma:pg`4=83?p1<>?9;3fa>;61k;1=o64=0;`6?7e027:<;<51c;89465i3;i46s|cd194?5|58:;m7?je:?2=g6=9kk01<>=b;3ae>{tkl>1<7;t=023f?7bm27:5lh51c:894?d83;i463>07695g?<58:9o7?m8:pg`3=839p1<>?c;3fa>;61kl1=oo4=021`?7ei2wxoh850;7x9467l3;ni63>9cg95g><583o<7?m8:?2430=9k301<>=e;3a<>{tkl=1<7=t=023a?7bm27:5oj51cc89465n3;im6s|cd:94?3|58:;j7?je:?2=ge=9k201<7le;3a<>;68?21=o74=0204?7e02wxoh750;1x946683;ni63>9c`95gg<58:8=7?ma:pg`g=839p1<>>1;3fa>;61kk1=oo4=0206?7ei2wxohl50;1x9466:3;ni63>9c;95gg<58:8?7?ma:pg`e=839p1<>>3;3fa>;61k21=oo4=0200?7ei2wxohj50;1x9466<3;ni63>9c595gg<58:897?ma:pg`c=839p1<>>5;3fa>;61k<1=oo4=0202?7ei2wxonk50;4x9cb428on70?6a582f2=:90h?66i;0:no52fg595g>53z?e`3<6ml16=4o>:0`b?8`a03;im6s|ce094?3|5on<653z?e`=<6ml16=47i:0`b?8`ai3;im6s|ce694?3|5on2653z?e`d<6ml16=4ok:0`b?8`ak3;im6s|ce494?3|5oni653z?e`f<6ml16=4om:0`b?8`am3;im6s|ce:94?3|5ono655z?e``<6ml16=4o6:0`;?87>jh0:n5521111>4d>34;;<=4>b99~wfbf290>w0hkf;3fa>;61h21=o64=0;a=?7e027:<>=51c;8946793;i46s|ce`94?3|5oo;64d?3tyhhn4?:4y>b`7=9lo01<7n6;3a<>;61k=1=o64=0201?7e127:<==51c:8yvecl3:19v3ie382a`=:90k>65<1s4lj;7?je:?2=<2=9k=01<7n3;3a3>;an?0:n552fb695g1<583i?7?m9:pgf6=83>p1ko7:0gf?87>1:0:n452fb795g?<583j>7?ma:pgf7=83?p1ko6:0gf?87>i80:n552fg:95g?<5832>7?mb:?eg3<6j11vnm=:1808`fi3;ni63>98395gg<5oi<683;i463>98d95g><5olj6ed9>54d?3tyho;4?:2y>bdc=9lo01<76c;3ae>;akk0:nl5rsba4>5<2s4ljj7?je:?2=;anl0:n452fba95g>53z?ef5<6ml16=47n:0`b?8`dl3;im6s|cb;94?5|5oh:6ed9>5{tkjn1<7=t=g`6>4cb34;2584>b`9>ba4=9kk0q~mm1;292~;a?l0:ih5218:6>4d034;25>4>b69>bf3=9k201k76:0`4?87>i;0:n45rsb`1>5<3s4l1;0:nl5rsb`0>5<2s4l3<7?je:?2=<7=9k201km8:0`:?87>0:0:no52f8`95g>53z?e<4<6ml16=46=:0`b?8`>k3;im6s|cc794?3|5o2960}:n1>1=hk4=0;;`?7e027:54m51c:89cee28h270h6f;3a<>{tkk21<7=t=g:6>4cb34;24n4>b`9>bd6=9kk0q~mm9;291~;a0?0:ih5218:a>4d?34;25l4>b99>bfb=9k301ko>:0`;?xudjh0;68u2f9595`c<5833m7?m8:?2=?3;i463id182f<=:nh>1=o64}raa`?6==r7m4l4>ed9>5<>028h370?69782f==:nm;1=o74=gc6>4d?3tyhnh4?:4y>b=d=9lo01<776;3a<>;610?1=o64=gf1>4d>34lj:7?m8:pgd2=83?>0:n:5218:7>4d034l2m7?m8:?e34<6j>16=47=:0`:?xudi<0;69u2f4;95`c<583<:7?m9:?e37<6j016=46<:0`b?xudi?0;68u2f4c95`c<5833>7?m8:?e=f<6j016=49::0`a?8`0;3;i46s|c`594?5|5o?i66}:nb`9~wfgf290>w0h:e;3fa>;61>o1=o64=0;;g?7e027mm=4>b89>b21=9k20q~mnb;297~;a=o0:ih52185g>4df34l<47?ma:pgde=839p1k8?:0gf?87>?j0:nl52f6;95gg53z?e24<6ml16=49m:0`b?8`0i3;im6s|c`g94?5|5o<9650;1x9c0328on70?67982fd=:n>n1=oo4}ra:r7m?84>ed9>5<0f28h<70?67782f2=:n>81=o64=g6`>4d034;24>4>b89~wf?>290?w0h<6;3fa>;61?31=o94=g6g>4d>34;2;84>b`9~wf?f290>w0h<7;3fa>;611;1=o64=g57>4d>34;2;94>bc9>b1c=9k20q~m6b;297~;a;10:ih521850>4df34l?j7?ma:pg?;0:n552185e>4d?34l<:7?m9:?e15<6j11vn7k:1808`4i3;ni63>96395gg<5o?:6l51dg894?083;i463>96f95g><5o=364>b99~wfg7290>w0h;61?o1=o64=0;4f?7e027m;l4>b89>b02=9k20q~mn1;291~;a;l0:ih52184g>4d?34;2;l4>b99>b2d=9k301k;::0`;?xudi;0;68u2f2d95`c<583=o7?m8:?2=2?=9k201k9l:0`:?8`2>3;i46s|c`194?3|5o>;6n4>b99~wf?72908w0h>8;3fa>;61?<1=oo4=g0g>4df3tyh5<4?:4y>b4?=9lo01<795;3a<>;61>;1=o64=g72>4d>34l9i7?m8:pg<4=839p1k?n:0gf?87>>=0:nl52f3d95gg53z?e5g<6ml16=48<:0`b?8`483;im6s|c8694?5|5o;h6?51cc8yve>=3:1?v3i1e82a`=:90<:6ed9>5<3a28hj70h<4;3ae>{tk191<78t=dd6>4cb34;29i4>b69>5<0?28h<70h=b;3a<>;a8k0:n:521857>4d>3tyh494?:5y>ac0=9lo01<7:c;3a3>;a8j0:n4521844>4df3tyh484?:4y>ac1=9lo01<796;3a<>;a:m0:n452187a>4de34l;h7?m8:pg=0=839p1hh7:0gf?87>=h0:nl52f1g95gg55z?fb<<6ml16=4;6:0`;?87>>=0:n552f3d95g?<5o:m697195g><5o9;6b99~wf>f290>w0kic;3fa>;61<<1=o64=0;55?7e027m??4>b89>b44=9k20q~m7b;291~;bnm0:ih521876>4d?34;2:=4>b99>b65=9k301k?<:0`;?xud0j0;68u2egg95`c<583>87?m8:?2=0`=9k201k=;:0`:?8`6<3;i46s|c6c94?0|5lnj6ed9>5<2b28hj70ki0;3ae>{tk>l1<7=t=dfe>4cb34;28i4>b`9>ac7=9kk0q~m70;297~;bm90:ih52186`>4df34om>7?ma:pg=7=839p1hk>:0gf?87>7>53z?fa7<6ml16=4;<:0`b?8ca<3;im6s|c6094?0|5li;6:0`;?8cbl3;i;63jd382f3=:90?i6<6n6b99~wf11290>w0kl4;3fa>;61=?1=o64=0;7`?7e027nj<4>b89>aa0=9k20q~m87;291~;bk<0:ih521867>4d?34;28n4>b99>ac4=9k301hj8:0`;?xud?10;68u2eb495`c<583??7?m8:?2=1d=9k201hh<:0`:?8cc03;i46s|c6;94?3|5li<6ed9>5<5b28hj70kmc;3ae>{tk?l1<7=t=dcg>4cb34;2?i4>b`9>agb=9kk0q~m80;297~;bil0:ih52181`>4df34oii7?ma:pg27=839p1hoi:0gf?87><;0:nl52ecd95gg56z?f=0<6ml16=o>m:0`5?87><90:n552ecc95g1<5lk86>3;ni63>92d95g><5lhi60}:m021=hk4=0`3j51c:89`dc28h270kn6;3a<>{tk?31<7;t=d;:>4cb34;i<:4>b99>5<5d28h370kme;3a=>;bi>0:n55rsb4b>5<2s4o2m7?je:?2f5e=9k201<7;2;3a<>;bjo0:n452e`:95g>5<4d28h=70?61c82f<=:9k>?6;618i1=o94=0`0g?7bm2wx=kkn:18087>:m0:n;52183`>4d>34;i854>ed9~w4`bn3:1?v3>92695g1<583987?m7:?2f1b=9lo0q~?if183>6}:909?65<4s4;2?84>b79>5<4228h270?m5182a`=z{8lm?7>53z?2=60=9k=01<7=6;3a3>;6j<;1=hk4}r3eb1<72:q6=4=9:0`5?87>:?0:n4521c71>4cb3ty:ji;50;1x94?4?3;i;63>93595g1<58h>?7?je:p5cb12908w0?63682f3=:908<6u2181;>4d134;2>54>b89>5g3128on7p}>fe;94?5|583857?m7:?2=7?=9k=01{t9onj6=4<{<3:7<<6j?16=4<6:0`:?87e=10:ih5rs0dgf?6=;r7:5>o51c5894?5i3;i;63>b4;95`c5<5f28h=70?62`82f<=:9k926;61;h1=o94=0`0e?7bm2wx=kjj:18087>;k0:n;52180a>4d>34;i?o4>ed9~w4`b83:1?v3>93g95g1<583:h7?m7:?2f6b=9lo0q~?ie083>6}:908n65<4s4;2>k4>b79>5<7b28h270?m4182a`=z{8ln87>53z?2=66=9k=01<7>f;3a3>;6j=;1=hk4}r3ea0<72:q6=4=?:0`5?87>9o0:n4521c61>4cb3ty:jh850;1x94?493;i;63>93295g1<58h??7?je:p5cc02908w0?63082f3=:908;6u21811>4d134;2><4>b89>5g2028on7p}>fd`94?5|5838?7?m8:?2=74=9k=01{t9ooh6=4<{<3:76<6j?16=4<=:0`:?87e=51cc894?5:3;im63>b5`95`c5<75283>70?m4b82a`=z{;::i7>54z?2;6<8>1=4;4=0`4g?7bm2wx>=<6:18787?nj0:n:5219gg>4d034;?=k4>949>5g>028on7p}=03g94?2|582mo7?m6:?2<`b=9k301<:=3;3:1>;6j1i1=hk4}r036c<72=q6=4>=:0`4?87?n:0:n:521507>4?234;i4i4>ed9~w76483:18v3>91095g0<582m?7?m9:?2073=90?01{t:99:6=4;{<3:46<6j>16=5h;:0`4?873:?0:58521c:e>4cb3ty9<><50;6x94?7;3;i:63>8g695g?<58>9;7?65:?2f<6=9lo0q~1}:90:?616=9<7:0;6?87e180:ih5rs3220?6=a=3;i563>41c95<3<58h2>7?je:p6572290?w0?60482f2=:91l=627:4k851c;89427k3;2963>b8695`c5<6128h<70?7f682f2=:9=:o6<7:;<3a=0<6ml1v?>>8;290~;619<1=o84=0:e3?7e127:8=k5187894d>>3;ni6s|213:>5<3s4;2<:4>b69>5=`?28h<70?;0g82=0=:9k3<6p1<7?7;3a2>;60o21=o74=0624?7>=27:n4651dg8yv479k0;69u2182;>4d034;3j44>b69>5176283>70?m7882a`=z{;::o7>54z?2=5>=9k<01<6i9;3a=>;6<881=4;4=0`4e?7bm2wx>=?k:18787>800:n:5219db>4d034;?=>4>949>5g1e28on7p}=00d94?2|583;57?m6:?25;3:1>;6j>n1=hk4}r0365<72=q6=5hk:0`4?87?ml0:n:521535>4?234;i;h4>ed9~w76593:18v3>8gf95g0<582ni7?m9:?2041=90?01{t:9896=4;{<3;b`<6j>16=5ki:0`4?873910:58521c:3>4cb3ty9am3;i:63>8dd95g?<58>:57?65:?2f=7=9lo0q~1}:91lm616=9?n:0;6?87e0;0:ih5rs3211?6=a83;i563>40`95<3<58h3?7?je:p6541290?w0?60182f2=:91l:627:4k?51c;89426l3;2963>b9795`c54?:5y>5<6628h<70?7f382f2=:9=;n6<7:;<3a<3<6ml1v?>=a;290~;619;1=o84=0:e6?7e127:8?>5187894d?03;ni6s|210a>5<3s4;?><4>949>5g>>28on70?7e382f==:91o86p1<:=2;3:1>;6j1k1=hk4=0:f6?7ei27:4h=51c`8yv47:m0;6>u21c:a>4cb34;3i?4>bc9>5=c428h=7p}=01;94?1|582ho7?m6:?2;6j1=1=o94=0`751c5894d1?3;ni6s|212f>5<0s4;3oi4>b69>5=db28h<70?;8482=0=:9k2h6em3;i563>49495<3<58h3h7?m7:?2f1c=9k301;6<=<1=o94}r0355<72>q6=5j<:0`4?87?k=0:n:5215:4>4?234;i4h4>b69>5g2a28h270?m6d82a`=:9=><6;60j>1=o74=06;=27:n5h51c5894d283;i563>b7d95`c<58>?47?m7:p657529064cb34;?844>b69~w766;3:1;v3>8e695g0<582h97?m9:?20=g=90?01;6j<81=o74=0`45?7bm27:89o51c58yv7an<0;6:u219f6>4d034;3o;4>b69>510d283>70?m9382f2=:9k?8627:4n851c;89421l3;2963>b8195g1<58h>87?m9:?2f25=9lo01<:=c;3a3>{t9ol<6=48{<3;`3<6j>16=5m8:0`4?873>l0:58521c;7>4d034;i984>b89>5g1328on70?;2e82f2=z{8lm47>57z?2;643g95g15=b028h<70?7c982f2=:9==;6<7:;<3a=3<6j>16=o;8:0`:?87e??0:ih52150e>4d03ty:jko50;5x94>c?3;i:63>8b:95g?<58><=7?65:?2f<1=9k=01;6j>=1=hk4=0604?7e?2wx=khm:18487?l10:n:5219a:>4d034;?;?4>949>5g??28h<70?m5882f<=:9k=361vb2;95g?<58h>m7?je:?2064=9k=0q~?ife83>2}:91n2616=99;:0;6?87e?h0:n:521c1b>4d>34;i9o4>ed9>515428h<7p}>fgg94?1|582o57?m6:?2;6j>h1=o94=0`0f?7e127:n8m51dg89424<3;i;6s|1gde>5<0s4;3hl4>b69>5=ee28h<70?;7682=0=:9k=o6dj3;i563>46:95<3<58h;6<:=1=o94}r0344<72>q6=5mj:0`4?87?jo0:n:52155:>4?234;i;k4>b69>5g5a28h270?m5g82a`=:9=936;60kl1=o74=064e?7>=27:n5>51c5894d383;i563>b7295`c<58>857?m7:p6564290:0`4?87e<80:n4521c42>4cb34;??l4>b69~w767<3:1;v3>8bd95g0<582h<7?m9:?202e=90?01;6j=81=o74=0`56?7bm27:8>l51c58yv478<0;6:u219f3>4d034;3o<4>b69>511c283>70?m8282f2=:9k>8627:4n?51c;89420m3;2963>b9695g1<58h?97?m9:?2f32=9lo01<:{t:9:<6=48{<3;`4<6j>16=5m=:0`4?873?o0:58521c:6>4d034;i8;4>b89>5g0228on70?;3d82f2=z{;:;47>57z?2;6<1:1=4;4=0`;2?7e?27:n9951c;894d1>3;ni63>42d95g15=b528h<70?7c282f2=:9=296<7:;<3a<=<6j>16=o:6:0`:?87e>10:ih521562>4d03ty9<=l50;5x94>c:3;i:63>8b195g?<58>3?7?65:?2f=?=9k=01;6j?31=hk4=0676?7e?2wx>=>l:18:8730=0:58521c:b>4d034;i8o4>b89>5g0f28on70?m7b82f<=:9=>86b5a95g?<58h;6j?h1=hk4=0:a0?7e>279<9o51c58yv7a8;0;68u219;g>4d134;34h4>b89>56e3283>70?neg82a`=:9k;601l1=o94=01`1?7>=27:mhk51dg894d1l3;i:63>4`595g15=?b28h=70?78g82f<=:9:i=6<7:;<3a2`<6j?16=lkk:0gf?xu6mol1<78t=0:b0?7e?27:44;51c58945d?3;2963>ada95`c<58h=j7?m6:?20d?=9k=0q~?jfd83>0}:91k?6m7:0;6?87fmk0:ih521c53>4d13ty:ikj50;4x94>f=3;i;63>88495g1<589h57?65:?2e`g=9lo01;64?234;ji44>ed9>5g1528h=7p}>eg`94?0|582j:7?m7:?2<<1=9k=01<=nd;3:1>;6il21=hk4=0`47?7e>27:85m51c58yv7bnh0;68u219c5>4d134;35:4>b89>56gb283>70?ne682a`=:9k=?6;60021=o94=01bb?7>=27:mh;51dg894d0=3;i:63>49g95g15=g028h=70?79982f<=:9:h;6<7:;<3ba1<6ml16=o99:0`5?xu6mo=1<78t=0:bad195`c<58h<;7?m6:?20<6=9k=0q~?jf783>0}:91k36l=:0;6?87fm;0:ih521c5;>4d13ty:ik;50;4x94>f13;i;63>88c95g1<589i?7?65:?2e`7=9lo01;6<081=o94}r3fb1<724?234;ji=4>ed9>5g3e28h=7p}>eg194?0|582jm7?m7:?2<;6iml1=hk4=0`6g?7e>27:84:51c58yv7bn;0;68u219cb>4d134;35o4>b89>56d1283>70?ndd82a`=:9k?o6;600i1=o94=01a3?7>=27:mij51dg894d2m3;i:63>48:95g15=ge28h=70?79b82f<=:9:h36<7:;<3b`f<6ml16=o;i:0`5?xu6mll1<78t=0::b?7e?27:44>51c58945e13;2963>aec95`c<58h=<7?m6:?200}:913m6ln:0;6?87fn>0:ih521c42>4d13ty:ihj50;4x94>f83;i;63>88395g1<589in7?65:?2ec0=9lo01;6<0i1=o94}r3faf<724?234;jj84>ed9>5g0428h=7p}>ed`94?0|582j=7?m7:?2<<4=9k=01<=md;3:1>;6io>1=hk4=0`50?7e>27:84k51c58yv7bmh0;68u219c2>4d134;35?4>b89>56db283>70?nf282a`=:9k<>6;60091=o94=01ab?7>=27:mk<51dg894d1>3;i:6s|1dg;>5<2s4;3m?4>b79>5=?428h270?3;ni63>b7;95g05=g428h=70?79582f<=:9:i86<7:;<3b`g<6ml16=o8n:0`5?xu6ml?1<7<;{<3bb5<6ml16=9o::0`4?87e?;0:n:521c50>4d034;i;94>b69>5g1228h<70?m7782f2=:9k=<616=o;n:0`4?87e=k0:n:521c7`>4d034;i9i4>b69>5g3b28h<70?m5g82f2=:9k<;616=o8=:0`4?87e>:0:n:521c47>4d034;i:84>b69>5g0128h<70?m6982f2=:9k<2616=o8l:0`4?87e>m0:n:521c4f>4d034;i:k4>b69>5g1728h<70?m7082f2=:9=k:6>0:nl52216`>4d>3ty:ih:50;6x94>1m3;i:63>84g95g?<589=m7?65:?2ea7=9lo0q~?je283>3}:9116=>8m:0;6?87fl90:ih5215c;>4d?34;8i;4>b69~w4cb:3:18v3>87d95g0<582>j7?m9:?273e=90?01{t9lo:6=48{<3;30<6j>16=589:0`4?874>m0:58521`ga>4d034;joh4>ed9>51gf28h370?54z?2<23=9k<01<696;3a=>;6;?o1=4;4=0c``?7bm2wx=hji:18487???0:n:521944>4d034;89?4>949>5dc>28h<70?ncb82a`=:9=2i61v<1=o84=0:53?7e127:?8=5187894gdj3;ni6s|1dfg>5<0s4;3;:4>b69>5=0?28h<70?<5582=0=:9ho<6103;i563>34795<3<58kh47?je:p5`be2900:ih5215:e>4d?34;8oh4>b69~w4cci3:18v3>86:95g0<582=57?m9:?2701=90?01{t9ln26=48{<3;3<<6j>16=58n:0`4?874=10:58521`g1>4d034;jo84>ed9>51?628h370?54z?2<2?=9k<01<69a;3a=>;6;<31=4;4=0c`0?7bm2wx=hj8:18487??h0:n:52194a>4d034;89l4>949>5dc728h<70?nc282a`=:9=3861vk1=o84=0:5f?7e127:?8l5187894gd:3;ni6s|1df6>5<0s4;3;o4>b69>5=0d28h<70?<5b82=0=:9hnn61k3;i563>34f95<3<58kh<7?je:p5`b42904d?34;8h;4>b69~w4cc:3:18v3>86a95g0<582=h7?m9:?270`=90?01{t9ln:6=48{<3;35<6j>16=58?:0`4?874>90:58521`d4>4d034;jh44>ed9>51?e28h370?54z?2<26=9k<01<690;3a=>;6;?;1=4;4=0cg4d034;8:?4>949>5d`228h<70?nd682a`=:9=3o61v;1=o84=0:55?7e127:?;=5187894gc>3;ni6s|1dag>5<0s4;3;?4>b69>5=0528h<70?<6582=0=:9hl861:3;i563>37795<3<58km>7?m7:?2ea2=9lo01<:n0;3a<>;6;mn1=o94}r3fgg<72>q6=59<:0`4?87?>:0:n:521245>4?234;jj<4>b69>5db428on70?;a382f==:9:o;6;60?91=o74=0153?7>=27:mh851c5894gd13;ni63>4`195g><589n=7?m7:p5`e>2904d?34;8i?4>b69~w4cd03:1:v3>86695g1<582=87?m9:?2ec6=9k=01;6;l91=o94=0:;1?7e?2wx=hm8:18787?;o0:n;521912>4d>34;8=>4>949>5dd428on7p}>eb494?1|582?<7?m7:?2<64=9k=01<=>4;3:1>;6ik81=hk4=0cfg?7e127:?h951c:8945?j3;i;6s|1da6>5<3s4;38=4>b79>5=5528h270?<1482=0=:9hh:6;60:=1=o94=0122?7>=27:mnj51c5894ge83;ni63>adc95g?<589n57?m8:?27=b=9k=0q~?jc283>1}:91>>6403;i;63>2ga95<3<58khn7?m7:?2edc=9lo01;6;jh1=o64=0144?7e?2wx=hm>:18787?4d>34;9ji4>949>5dgc28on7p}>eb294?>|582?;7?m7:?2<6?=9k=01<;6ij21=o94=0cbg?7bm27:mh;51c;8945dl3;i463>36095g15=2028h=70?73882f<=:9;lm6<7:;<3beg<6ml1v5187894gd>3;i;63>a`c95`c<58kn?7?m9:?27f`=9k201<=84;3a3>{t9lho6=4;{<3;0=<6j?16=5=n:0`:?874880:58521`c:>4cb3ty:iom50;:x94>313;i;63>82`95g1<589;>7?65:?2ef2=9k=01;6il;1=o74=01g5?7e027:?:851c58yv7bjk0;69u2196:>4d134;3?o4>b89>5664283>70?na682a`=z{8oim7>58z?2<1g=9k=01<6;6;9>1=4;4=0c`6?7e?27:ml851dg894gcn3;i563>3e195g><589<47?m7:p5`d>290?w0?74`82f3=:919h6j51c589457>3;2963>ab295g1<58kj87?je:?2eab=9k301<=k5;3a<>;6;>k1=o94}r3ff2<72=q6=5:m:0`5?87?;m0:n4521224>4?234;jm>4>ed9~w4ce>3:14v3>85a95g1<5828i7?m7:?275>=90?01;6ih;1=hk4=0cge?7e127:?i951c:89450k3;i;6s|1d`6>5<3s4;38n4>b79>5=5b28h270?<0882=0=:9hhh6;60:91=o94=013e?7>=27:mi651c5894gej3;ni63>ag495g?<589o57?m8:?272c=9k=0q~?jb283>1}:91>:6>m:0;6?87fjh0:ih5rs0ga6?6=0r7:49<51c5894>4<3;i;63>31a95<3<58ko:7?m7:?2eg?=9lo01;6;mh1=o64=01;4?7e?2wx=hl>:18787?<;0:n;521917>4d>34;8949>5dd?28on7p}>ec294?2|582??7?m7:?2<63=9k=01<=?e;3:1>;6ik=1=hk4}r3fec<72=q6=5:<:0`5?87?;<0:n452122e>4?234;jn;4>ed9~w4cfm3:18v3>85695g1<5828:7?m7:?2746=90?01{t9lko6=4;{<3;01<6j?16=5=9:0`:?874980:58521`c1>4cb3ty:ilm50;1x94ge<3;ni63>83595g?<582947?m6:p5`ge290?w0?71282f3=:91:?6a8495`c<58khi7?m9:?27=e=9k201<=<3;3a3>{t9lk26=4;{<3;51<6j?16=5>::0`:?875k:0:58521`;6>4cb3ty:il650;:x94>613;i;63>81`95g1<588jm7?65:?2ed`=9k=01;6iji1=o74=015b?7e027:?<951c58yv7bi>0;69u2193:>4d134;3b89>57ge283>70?n9282a`=z{8oj:7>58z?2<4g=9k=01<6?c;3a3>;6:hi1=4;4=0cb`?7e?27:m4<51dg894gdi3;i563>36395g><589:57?m7:p5`g2290?w0?71`82f3=:91:h6:0gf?xu6mh>1<76t=0:2f?7e?27:4=j51c58944fm3;2963>a``95g1<58k2<7?je:?2ef1=9k301<=83;3a<>;6;8h1=o94}r3fe6<72=q6=5?m:0`5?87?8m0:n45213ce>4?234;j4k4>ed9~w4cf:3:14v3>80a95g1<582;i7?m7:?26g6=90?01;6i1o1=hk4=0c`1?7e127:?:;51c:89456l3;i;6s|1dc2>5<3s4;3=n4>b79>5=6b28h270?=b082=0=:9h2o6d;3a3>;609l1=o94=00a6?7>=27:ml951c5894g?k3;ni63>ab195g?<589<;7?m8:?274`=9k=0q~?j9g83>1}:91;o6683;i;63>2c695<3<58kj97?m7:?2e=g=9lo01;6;>31=o64=0115?7e?2wx=h7k:18787?9l0:n;521933>4d>34;9n84>949>5d>>28on7p}>e8a94?>|582:j7?m7:?2<47=9k=01<;6ih91=o94=0c;33195g15=7a28h=70?71082f<=:9;h<6<7:;<3b<3<6ml1vo65187894gek3;i;63>a`295`c<58ko57?m9:?272b=9k201<==5;3a3>{t9l326=4;{<3;65<6j?16=5?=:0`:?875j00:58521`;e>4cb3ty:i4650;:x94>6=3;i;63>81495g1<588im7?65:?2egg=9k=01;6im=1=o74=014b?7e027:??951c58yv7b1>0;69u21936>4d134;3<;4>b89>57de283>70?n9e82a`=z{8o2:7>58z?2<40=9k=01<6?7;3a3>;6:ki1=4;4=0ca39395g><589957?m7:p5`?22903w0?71782f3=:91:<64d>34;84?4>b99>564f28h<7p}>e8694?>|582:;7?m7:?2<5>=9k=01<;6ik<1=o94=0c:e?7bm27:mi=51c;8945?;3;i463>33`95g14?:9y>5=7028h=70?70982f<=:9;hm6<7:;<3bf0<6j>16=l76:0gf?87fk00:n45212:7>4d?34;8>n4>b69~w4c>:3:14v3>80:95g><582;57?m7:?26f6=90?01;6i1=1=hk4=0caa?7e127:?5;51c:89455l3;i;6s|1d;2>5<0s4;3=54>b69>5=6>28h270?nb582f2=:9h33669:0`;?874:l0:n:5rs0g:4?6=26395<3<58ka290n364d?34;9hh4>b69~w4c?m3:18v3>7d595g0<58=o47?m9:?260?=90?01{t9l2o6=47{<34af<6j>16=:jj:0`4?875=h0:58521`;0>4d034;j;44>ed9>5dgb28h270?<1982f==:9;i>6p1<9jc;3a2>;6?mo1=o74=006f?7>=27:m:651dg8yv7b0k0;65u216gg>4d034;b69>573d283>70?n9082f2=:9h=<6?n:0`;?875k>0:n:5rs0g;e?6=24f95<3<58k<:7?je:p5`>>2903w0?8ed82f2=:9>o;64d>34;8=n4>b99>57e>28h<7p}>e9:94?2|58=ni7?m6:?23`6=9k301<<:f;3:1>;6i>>1=hk4}r3f<2<721q6=:ki:0`4?870m80:n:521343>4?234;j4i4>b69>5d1428on70?na982f<=:9:;n61v;?5187894g0:3;ni6s|1d:6>5b69>52c528h<70?=6382=0=:9h2i64d03ty:i5:50;6x941a83;i:63>7d095g?<588=?7?65:?2e26=9lo0q~?j8283>=}:9>l:616=?8;:0;6?87f000:n:521`4e>4cb34;jm94>b89>564528h370?=cg82f2=z{8o3>7>54z?23c7=9k<01<9j3;3a=>;6:??1=4;4=0c5a?7bm2wx=h6>:18;870n;0:n:5216g7>4d034;9:;4>949>5d>128h<70?n6b82a`=:9hk:6:0`4?xu6m1:1<7:t=05e6?7e>27:;h:51c;89441?3;2963>a9795`c52`428h<70?8e482f2=:9;<36<7:;<3b=c<6j>16=l6;:0gf?87fjk0:n4521205>4d?34;9h>4>b69~w4c0m3:18v3>7g195g0<58=n97?m9:?263?=90?01{t9l=o6=47{<34a=<6j>16=:j6:0`4?875>h0:58521`;g>4d034;j4?4>ed9>5dd>28h270?<2982f==:9;n>6p1<9j8;3a2>;6?m31=o74=005f?7>=27:m5?51dg8yv7b?k0;69u216g:>4d034;b69>570d283>70?n8182a`=z{8o54z?23`?=9k<01<9ka;3a=>;6:?n1=4;4=0c4b?7bm2wx=h96:187870mh0:n:5216fa>4d034;9:h4>949>5d1b28on7p}>e6:94?2|58=nm7?m6:?23ad=9k301<<9f;3:1>;6i?n1=hk4}r3f32<72:q6=:km:0`5?870lj0:n4521`5g>4cb3ty:i:850;6x941ei3;i:63>7`a95g?<5889?7?65:?2e34=9lo0q~?j7483>2}:9>hi616=?>m:0;6?87f>80:ih521`;7>4d>34;9o94>b99>571428h<7p}>e6694?2|58=in7?m6:?23db=9k301<;6i?:1=hk4}r3f36<721q6=:li:0`4?870j;0:n:52132g>4?234;j;54>b69>5d3a28on70?n9382f<=:9;i=61v=k5187894g2m3;ni6s|1d52>5b69>52d428h<70?=0g82=0=:9h==64d03ty:i:>50;6x941d83;i:63>7c195g?<588:<7?65:?2e0e=9lo0q~?j6g83>=}:9>i:616=??>:0;6?87f?=0:n:521`7a>4cb34;j4h4>b89>57ef28h370?=7882f2=z{8o=i7>54z?23f7=9k<01<9m4;3a=>;6:881=4;4=0c6e?7bm2wx=h8k:18;870k;0:n:5216`6>4d034;9=>4>949>5d1528h<70?n5882a`=:9h2h627:;o;51c;89446<3;2963>a4:95`c52e428h<70?8b782f2=:9;;>6<7:;<3b35<6j>16=l;8:0gf?87f0h0:n45213af>4d?34;9;i4>b69~w4c1i3:18v3>7b195g0<58=i:7?m9:?2640=90?01{t9l<26=47{<34g1<6j>16=:l8:0`4?8759>0:58521`4f>4d034;j984>ed9>5d>?28h270?=d182f==:9;=m6=83>p1<9l4;3a2>;6?k=1=o74=002=27:m8=51dg8yv7b>>0;65u216a6>4d034;b69>577>283>70?n8482f2=:9h20c95<3<58k=m7?je:p5`022903w0?8c782f2=:9>h2600:ih521`;f>4d>34;9h94>b99>57>428h<7p}>e7694?2|58=h:7?m6:?23g?=9k301<<>c;3:1>;6i?21=hk4}r3f26<721q6=:ll:0`4?870il0:n:52133g>4?234;j4<4>b69>5d0028on70?n9b82f<=:9;n=61va7495`c<58k2n7?m9:?26a1=9k201<<76;3a3>{t9l<:6=47{<34fa<6j>16=:oi:0`4?8759o0:58521`5e>4d034;j:84>ed9>5d?f28h270?=d982f==:9;2<6;6?hl1=o74=0014?7>=27:m:k51c5894g1<3;ni63>a8;95g?<588o57?m8:?26=>=9k=0q~?j5g83>=}:9>hn616=?<>:0;6?87f>m0:n:521`77>4cb34;j4:4>b89>57bf28h370?=8882f2=z{8o>i7>57z?23gc=9k=01<9m0;3a=>;6i>n1=o94=0c57?7bm27:m4651c;8944cj3;i463>29c95g152?728h=70?88382f<=:98i;6<7:;<3b0<<6ml1va6;95g?<588<87?m8:?2673=9k=0q~?j5c83>1}:9>3:60:ih5rs0g6e?6=0r7:;4;51c58941?03;i;63>1b195<3<58k>i7?m7:?2e10=9lo01;6:><1=o64=0013?7e?2wx=h;6:1878701<0:n;5216:;>4d>34;:o94>949>5d2228on7p}>e4:94?>|58=2:7?m7:?23=?=9k=01;6i23;95g152?128h=70?88882f<=:98i=6<7:;<3b06<6ml1va5095`c<58k{t9l?>6=4;{<34=2<6j?16=:6n:0`:?876k10:58521`62>4cb3ty:i8:50;:x941>03;i;63>79`95g1<58;h57?65:?2e0>=9k=01;6i>;1=o74=004g?7e027:>?j51c58yv7b=:0;69u216;;>4d134;<4o4>b89>54ef283>70?n3g82a`=z{8o>>7>58z?23;69jh1=4;4=0c62?7e?27:m>k51dg894g1n3;i563>26g95g><5889j7?m7:p5`36290?w0?89882f3=:9>2h6a4195g1<58k8n7?je:?2e3e=9k301<<70;3a<>;6::;1=o94}r3f0c<72=q6=:7n:0`5?8700m0:n45210af>4?234;j9?4>ed9~w4c3m3:14v3>78`95g1<58=3i7?m7:?25f`=90?01;6i<;1=hk4=0c;0?7e127:>5<51c:89444;3;i;6s|1d6g>5<3s4;<5o4>b79>52>b28h270?>d182=0=:9h?;6;6?1l1=o94=03g5?7>=27:m;651c5894g3n3;ni63>a9095g?<588387?m8:?2663=9k=0q~?j4c83>1}:9>3h61e195<3<58k?h7?je:p5`2>290?w0?89382f3=:9>2?6a5`95`c52?428h=70?88482f<=:98n=6<7:;<3b7f<6ml1v1=o84=05;2?7e127:m9o51dg8yv7b<<0;69u21644>4d134;<944>b89>541>283>70?n3082a`=z{8o?87>57z?233>=9k=01<9:a;3a3>;69>k1=4;4=0c04?7bm27:m8h51c;89445>3;i463>1ec95g14?:5y>520?28h=70?85`82f<=:98=i6<7:;<3b6c<6ml1va3g95`c<58k>h7?m9:?267>=9k201{t9l>:6=4;{<342g<6j?16=:;i:0`:?876?m0:58521`0g>4cb3ty:i9>50;:x9411k3;i;63>77295g1<58;;6i4d134;<:=4>b89>541a283>70?n2c82a`=z{8o8i7>58z?233b=9k=01<991;3a3>;691:1=4;4=0c75?7e?27:m?o51dg894g213;i563>23a95g><58;n<7?m7:p5`5c290?w0?86e82f3=:9><:6a2d95g1<58k947?je:?2e01=9k301<<=e;3a<>;69l81=o94}r3f7g<72=q6=:8j:0`5?870>;0:n45210:0>4?234;j>:4>ed9~w4c4i3:14v3>77d95g1<58==?7?m7:?25=2=90?01;6i;<1=hk4=0c61?7e127:>>>51c:8947b<3;i;6s|1d1:>5<3s4;<:k4>b79>520428h270?>8482=0=:9h8?6=832p1<980;3a3>;6??>1=o94=03;2?7>=27:m8<51c5894g4i3;ni63>a7`95g?<5888>7?m8:?25`0=9k=0q~?j3683>1}:9>=;619:95<3<58k><7?m7:?2e6>=9lo01;6::>1=o64=03f4d>34;:444>949>5d5028on7p}>e2694?>|58=<>7?m7:?2330=9k=01;6i=o1=o94=0c02?7bm27:m;951c;89444>3;i463>1dc95g14?:9y>521528h=70?86782f<=:982i6<7:;<3b0a<6j>16=l=::0gf?87f>?0:n4521314>4d?34;:io4>b69~w4c4:3:14v3>77;95g1<58=>n7?m7:?25=e=90?01;6i:>1=hk4=0c51?7e127:>>651c:8947bk3;i;6s|1d12>5b79>523e28h270?>8e82=0=:9h>i64d03ty:i>>50;:x9411i3;i463>74a95g1<58;3i7?65:?2e6e=9k=01;6i<>1=o74=000e?7e027:=hk51c58yv7b:o0;6:u2164b>4d034;<9n4>b89>5d2f28h<70?n3382a`=:9h<8627:;>>51c;89474>3;2963>a0c95`ci4?:6y>525a28h<70?83082f2=:989<6<7:;<3b5<<6ml16=l:9:0`:?876lk0:n55210;0>4d03ty:i?m50;6x9414n3;i:63>72395g?<58;847?65:?2e4>=9lo0q~?j2c83>=}:9>>9616=<=6:0;6?87f:m0:n:521`34>4cb34;j894>b89>54bc28h370?>9482f2=z{8o9m7>54z?2314=9k<01<9<6;3a=>;69:k1=4;4=0c22?7bm2wx=h<6:18;870<:0:n:521614>4d034;:?o4>949>5d4e28h<70?n1482a`=:9h>9627:;>951c;89474k3;2963>a0695`c:4?:9y>522328h<70?83982f2=:989o6<7:;<3b6<<6j>16=l?<:0gf?87f<90:n45210g2>4d?34;:544>b69~w4c5>3:18v3>75695g0<58=847?m9:?256c=90?012;3fa>{t9l8>6=47{<3400<6j>16=:=6:0`4?876;o0:58521`04>4d034;j=<4>ed9>5d5b28h270?>e282f==:983i6p1<9;5;3a2>;6?:31=o74=0374?7>=27:m<>51dg8yv7b::0;65u21665>4d034;b69>5426283>70?n2582f2=:9h:n615095<3<58k9?7?je:p5`462903w0?84682f2=:9>9i64d>34;:i:4>b99>54?a28h<7p}>e3294?2|58=?;7?m6:?236d=9k301;6i;;1=hk4}r3f5c<721q6=::7:0`4?870;j0:n:521066>4?234;j?:4>b69>5d4728on70?n4g82f<=:98o261ve;290~;6?=21=o84=050g?7e127:=985187894g6n3;ni6s|1d3g>5<3s4;<844>b69>525c28h<70?>4682=0=:9h;n6p1<9;9;3a2>;6?:n1=o74=037=27:m4d034;b69>542>283>70?n1b82a`=z{8o:m7>54z?2316=9k<01<9<2;3a=>;69=k1=4;4=0c3b?7bm2wx=h?6:180870<80:n;521610>4d>34;j=o4>ed9~w4c603:18v3>70495g0<58=;57?m9:?24c1=90?01{t9l;<6=48{<3452<6j>16=:>n:0`4?877n10:58521`20>4cb34;j>h4>b89>54?328h370?>4e82f2=z{8o::7>54z?2341=9k<01<9?a;3a=>;68o31=4;4=0c36?7bm2wx=h?::18;870900:n:52162f>4d034;;jl4>949>5d7128h<70?n0082a`=:9h8h61<7:t=052=?7e>27:;=k51c;8946aj3;2963>a1295`c4?:9y>527f28h<70?80g82f2=:99lh6<7:;<3b51<6j>16=4hi:0gf?87f:h0:n45210;;>4d?34;:9<4>b69~w4c6:3:18v3>70c95g0<58=;j7?m9:?24cb=90?01<7ie;3fa>{t9l;:6=47{<345g<6j>16=:??:0`4?877nl0:58521`31>4d034;2ji4>ed9>5d4?28h270?>9`82f==:98?86p1<9>b;3a2>;6?8:1=o74=02eb?7>=27:5km51dg8yv7b8o0;65u2163`>4d034;<=<4>b69>5467283>70?n1182f2=:90li611395<3<583m57?je:p5`6c2903w0?81e82f2=:9>;964d>34;:5h4>b99>543028h<7p}>e1a94?2|58=:h7?m6:?2344=9k301;6i9i1=hk4}r3f4g<721q6=:?j:0`4?8709:0:n:521027>4?234;j><4>b69>5d6e28on70?n3982f<=:98k;61v5b69>527328h<70?>0782=0=:9h;m64d03ty:i=650;:x9416n3;i:63>70695g?<58;;;7?65:?2e4c=9k=01;6i:?1=o74=03b7?7e027:=8m51c58yv7b8>0;65u21603>4d034;<=84>b69>546?283>70?n1e82f2=:9h:<651c489416=3;i563>11;95<3<58k:o7?m7:?2e50=9lo01;69h?1=o64=036a?7e?2wx=h>::18;870910:n552162a>4d034;:949>5d6a28h<70?6f`82a`=:9h8>61<79t=052a1795`c<58k8>7?m9:?25d1=9k201{t9l:86=4;{<35b5<6j?16=;k<:0`:?877jk0:585218ge>4cb3ty:i=<50;5x940a93;i;63>6d695g1<58:io7?65:?2=`c=9lo017;3a=>;69=o1=o64=033`?7e?2wx=h>>:187871n80:n;5217g7>4d>34;;ni4>949>5e1294?>|58=9k=01<>me;3:1>;6i9:1=o94=0;fg?7bm27:m<;51c;8947283;i463>11d95g153`428h=70?9e982f<=:99hm6<7:;<3:ag<6ml1vo>1=o94=04f=?7e?27:5187894?am3;i;63>9dc95`c<58k:?7?m9:?2504=9k2011;3a3>{t9mlo6=4;{<35b1<6j?16=;k6:0`:?877k80:585218g:>4cb3ty:hkm50;:x940a=3;i;63>6dc95g1<58:h>7?65:?2=ce=9k=01<7j8;3fa>;6i8;1=o74=0360?7e027:=<=51c58yv7cnk0;69u217d6>4d134;=il4>b89>55e4283>70?6e682a`=z{8nmm7>58z?22c0=9k=01<8jb;3a3>;68j>1=4;4=0;e=?7e?27:5h;51dg894g7m3;i563>14495g><58;:97?m7:p5a`>290?w0?9f782f3=:9?oi63;2963>a1a95g1<583m;7?je:?2e74=9k301;698=1=o94}r3gb2<72=q6=;h8:0`5?871mj0:n45211a4>4?234;2j;4>ed9~w4ba>3:14v3>6g:95g1<58=90?01;61o?1=hk4=0c14?7e127:=8o51c:8947613;i;6s|1ed6>5<3s4;=j54>b79>53cc28h270??c882=0=:90l?6p1<8i9;3a3>;6>lo1=o94=02`e?7>=27:5k=51dg8yv7cn:0;69u217d:>4d134;=ih4>b89>55ee283>70?6f382a`=z{8nm>7>54z?22cg=9k=01<8jf;3a3>;68ji1=4;4=0;e5?7bm2wx=ih>:187871nh0:n;5217ge>4d>34;;oi4>949>5dg294?5|587?m6:?22`3=9k301<7i0;3fa>{t9mom6=4;{<35gg<6j?16=;lj:0`:?8770;0:585218fa>4cb3ty:hhk50;5x940dk3;i;63>6cd95g1<58:3?7?65:?2=ag=9lo01;699o1=o64=02g4?7e?2wx=ikk:187871kj0:n;5217`e>4d>34;;494>949>528on7p}>dda94?>|5875;3:1>;61lh1=o94=0;g0e095g153ec28h=70?9c282f<=:992=6<7:;<3:`2<6ml1vjo1=o94=04`0?7e?27:<595187894?b13;i;63>9e495`c<583mh7?m9:?2544=9k201<>k4;3a3>{t9mo26=4;{<35g`<6j?16=;m;:0`:?877010:585218f6>4cb3ty:hh650;:x940dn3;i;63>6b795g1<58:357?65:?2=`1=9k=01<7k4;3fa>;61oh1=o74=0320?7e027:0;69u217ae>4d134;=o84>b89>55>f283>70?6d382a`=z{8nn:7>58z?22a6=9k=01<8l6;3a3>;681h1=4;4=0;e10495g><58:o47?m7:p5ac2290?w0?9d182f3=:9?i=61<76t=04g5?7e?27::n951c58946?l3;2963>9g495g1<583n>7?je:?2e5d=9k3018;3a<>;68mk1=o94}r3ga6<72=q6=;j>:0`5?871k>0:n45211:f>4?234;2i<4>ed9~w4bb:3:14v3>6e095g1<58;61l:1=hk4=0c3=?7e127:=5b79>53e?28h270??9182=0=:90l867:0`:?8769k0:n55211fg>4d03ty:hh>50;:x940c;3;i;63>6b;95g1<58:2=7?65:?2=c4=9k=01<7ke;3fa>;6i9=1=o74=032g?7e027:4d134;=o44>b89>55?5283>70?6f082f2=:90no608195<3<583n:7?m7:?2=a5=9lo01<7ia;3a=>;698o1=o64=02f4?7e?2wx=ijk:184871l=0:n:5217ab>4d>34;2j=4>b69>51vh<1=o84=04:e?7e127:<9o5187894?d?3;ni6s|1efa>5<0s4;=m:4>b69>53?e28h<70??4c82=0=:90i=6:0`;?8771?0:n:5rs0fge?6=j3;i563>05a95<3<583h97?je:p5ab>2903w0?9a982f2=:9?3n6k=0:ih5218gb>4d>34;;h>4>b99>55??28h<7p}>de:94?2|58;e;3:1>;61j91=hk4}r3g`2<721q6=;o6:0`4?8711o0:n:52116e>4?234;2h84>b69>561vh31=o84=04:b?7e127:<8>5187894?d93;ni6s|1ef6>5b69>53g728h<70??5082=0=:90n960:n55211;`>4d03ty:hi:50;6x940fi3;i:63>6`295g?<58:>>7?65:?2=a7=9lo0q~?kd283>=}:9?ki616==;<:0;6?87>m:0:n:5218f3>4cb34;2j:4>b89>55b>28h370??9d82f2=z{8no>7>54z?22dd=9k<01<8n1;3a=>;68<>1=4;4=0;`b?7bm2wx=ij>:18;871ij0:n:5217c1>4d034;;984>949>5627::l<51c;89462>3;2963>9bf95`c53gc28h<70?9a282f2=:99?<6<7:;<3:gf<6ml1vhn1=o84=04b7?7e127:<865187894?dj3;ni6s|1eag>5<3s4;=mh4>b69>53g328h<70??5882=0=:90ij6p1<8ne;3a2>;6>h>1=o74=026e?7>=27:5n751dg8yv7ckk0;6>u217ce>4d134;=m84>b89>5dbc94?2|58<387?m6:?222>=9k301<>>6;3:1>;61k?1=hk4}r3gg<<72>q6=;6::0`4?871?00:n:521134>4?234;2n94>ed9>5=83>p1<875;3a2>;6>>31=o74=022=27:5o=51dg8yv7ck>0;65u217:5>4d034;=;n4>b69>557>283>70?6c282f2=:90h9680:n:5rs0f`2?6=00c95<3<583i=7?je:p5ae22903w0?98682f2=:9?=o6:0`4?87>j90:ih5218f7>4d>34;;5o4>b99>550428h<7p}>db694?2|58<3;7?m6:?222b=9k301<>>c;3:1>;61hl1=hk4}r3gg6<721q6=;67:0`4?871?l0:n:52113g>4?234;2h<4>b69>51v121=o84=044a?7e127:<5b69>531a28h<70??1g82=0=:90im64d03ty:hn>50;6x940?13;i:63>66d95g?<58:9<7?65:?2=ge=9lo0q~?kbg83>=}:9?2j616==<>:0;6?87>km0:n:5218`a>4cb34;2i=4>b89>55g628h370??6882f2=z{8nii7>58z?22=g=9k<01<870;3a=>;68;81=4;4=0;`g?7e?27:5oo51dg894?cn3;i563>0`095g><58:=m7?m7:p5adc2903w0?98c82f2=:9?2:6j00:ih5218ff>4d>34;;m>4>b99>550e28h<7p}>dca94?>|58<3n7?m6:?22=7=9k301<>=4;3:1>;61jk1=o94=0;a07a95g153>d28h370?98382f2=:998>6<7:;<3:g<<6j>16=4l8:0gf?87>l:0:n45211c6>4d?34;;:i4>b69~w4bei3:1;v3>69a95g1<58<3>7?m9:?2=f>=9k=01<7m6;3fa>;61mi1=o74=02b2?7e027:<;k51c58yv7cj00;69u21741>4d134;=9;4>b89>b`2=90?01<7n4;3fa>{t9mh36=48{<3526<6j>16=;;8:0`4?8`b=3;2963>9`195`c<583h87?m9:?2436=9k201<>=8;3a3>{t9mh<6=4;{<3526<6j?16=;;8:0`:?8`b>3;2963>9`095`c530328h<70?95`82f2=:nl=1=4;4=0;a5?7e?27:5l?51dg894?d:3;i563>07095g><58:9m7?m7:p5ad2290?w0?96582f3=:9??j6=27:5l>51dg8yv7cj=0;65u21746>4d034;=9o4>b69>b`?=90?01<7nf;3a3>;610l1=hk4=0;`4?7e127:<;:51c:89465k3;i;6s|1e`0>5<3s4;=:84>b79>533e28h270hja;3:1>;61ho1=hk4}r3gf7<721q6=;89:0`4?871=j0:n:52fd`95<3<583ii7?m7:?2=db=9lo01<7k0;3a=>;68?<1=o64=021a?7e?2wx=il>:187871>?0:n;52177`>4d>34lno7?65:?2=de=9lo0q~?kb183>=}:9?<<616jhj5187894?ek3;i;63>9``95`c<583hi7?m9:?243>=9k201<><0;3a3>{t9mkm6=4;{<3522<6j?16=;;k:0`:?8`bm3;2963>9`c95`c530?28h<70?95d82f2=:nll1=4;4=0;b=?7bm2wx=iok:187871>10:n;52177f>4d>34lm<7?65:?2=d>=9lo0q~?kab83>1}:9?<2616jk?5187894?f?3;ni6s|1eca>5<3s4;=:44>b79>533a28h270hi2;3:1>;61h<1=hk4}r3ged<72:q6=;8n:0`5?871>90:n45218c6>4cb3ty:hl750;6x940393;i:63>62495g?<5oh=6<7:;<3:=1<6ml1v=81=o94=0403?7e?27mn:4>949>54d>34li47?65:?2=<4=9lo0q~?ka783>=}:9?>8616jo75187894?f83;i;63>98395`c<583i<7?m9:?247d=9k201kh6:0`4?xu6lh?1<7:t=0477?7e>27::>751c;89cdf283>70?69182a`=z{8nj87>58z?2212=9k=01<8;ajk0:585218cf>4d034;25h4>ed9>5=27:5lm51c5894?>k3;ni63>9cf95g?<58:9j7?m8:?eba<6j>1v=?1=o84=040f?7e127mnh4>949>5d`294?>|58ih0:n:5218;b>4cb34;2no4>b89>555628h370hif;3a3>{t9m3m6=47{<3503<6j?16=;=l:0`:?8`d83;2963>9`;95g1<583257?je:?2=gg=9k301<><2;3a<>;689:1=o94}r3g=`<721q6=;:8:0`4?871;m0:n:52fb395<3<583j47?m7:?2=<>=9lo01<7m9;3a=>;68:91=o64=0235?7e?2wx=i7k:18;871<>0:n;52171g>4d>34lh>7?65:?2=d1=9k=01<767;3fa>;61k21=o74=0200?7e027:<=<51c58yv7c1j0;65u2176;>4d?34;=?h4>b69>bf5=90?01<7n6;3a3>;610<1=hk4=0;a3?7e127:<>;51c:89467;3;i;6s|1e;a>5<0s4;=854>b69>535b28h270?6a482f2=:903>66;6>821=o94=g:g>4?234;2494>ed9>5;ak?0:n:5rs0f:;6>8k1=o94=g:e>4?234;25=4>b69>5<>528on70?69g82f<=:nok1=o64=ga;>4d03ty:h4850;6x9405<3;i:63>60c95g?<5o3;6<7:;<3:;?1=o94=042f?7e?27m5<4>949>5b69~w4b><3:18v3>63795g0<58<:n7?m9:?e=7<61<16=46k:0gf?xu6l091<76t=0412?7e?27::70?69c82f2=:902h6d8094?2|58<9:7?m6:?224e=9k301k7;:0;6?87>0k0:ih5rs0f:5?6=p1<8=7;3a2>;6>8n1=o74=g;5>4?234;2444>ed9~w4b?n3:18v3>63:95g1<58<:i7?m7:?e=2<61<16=467:0gf?xu6l1o1<7:t=04127::70?68682a`=z{8n3h7>53z?227?=9k<01<8>f;3a=>;611<1=hk4}r3g;:0`5?872n00:n452f7795<3<583<;7?je:p5a>e290=27:5:851dg894?>93;i563ic682f==:n0h1=o94}r3g::0`5?872nh0:n452f7595<3<583<97?je:p5a>>2903w0?90782f2=:9=27:55h51c5894??93;ni63>98g95g?<5oi264d>34l=57?65:?2==6=9lo0q~?k8683>=}:9?:<616j;o5187894??l3;i;63>96d95`c<5832o7?m9:?egg<6j116j4h51c58yv7c0?0;69u21724>4d134;>ji4>b89>b3d=90?01<78e;3fa>{t9m2>6=47{<354=<6j>16=8hj:0`4?8`1k3;2963>99`95g1<5835b79>50`b28h270h9d;3:1>;611k1=o94=0;4g?7bm27:54751c;89ceb28h370hn2;3a3>{t9m286=47{<354<<6j>16=8hi:0`4?8`1m3;2963>99;95g1<583=9k301kmi:0`;?8`f;3;i;6s|1e:1>5b79>50`a28h270h9f;3:1>;61121=o94=0;4e?7bm27:54951c;89cb728h370hn4;3a3>{t9m2:6=47{<354d<6j116=;>?:0`4?8`083;2963>99595g1<583<57?je:?2=<0=9k301kj>:0`;?8`f=3;i;6s|1e:3>5<0s4;=b69>536728h270?68782f2=:90=36d6d94?2|58?n:7?m6:?21ad=9k301k:>:0;6?87>>h0:ih5rs0f4a?6=?r7:9h951c58943ck3;i;63i4382=0=:90<26d6f94?2|58?n;7?m6:?21ae=9k301k:<:0;6?87>?=0:ih5rs0f4g?6=0r7:9h651c58943cm3;i;63i4582=0=:902;6m3;i463i7482f2=z{8n54z?21`>=9k<01<;ke;3a=>;a<<0:58521851>4cb3ty:h:o50;:x943b13;i;63>5ed95g1<5o>=6<7:;<3:3`<6j>16=49>:0gf?87>0j0:n452f`295g><5o=<6p1<;j9;3a2>;6=ml1=o74=g64>4?234;2;=4>ed9~w4b003:18v3>5dc95g1<58?n<7?m7:?e0=<61<16=48i:0gf?xu6l>=1<7:t=07fe?7e>27:9h>51c;89c2>283>70?66d82a`=z{8n<:7>54z?21`d=9k=01<;j1;3a3>;a4cb3ty:h:;50;6x943bj3;i:63>5d395g?<5o>i6<7:;<3:2f<6ml1v4d134;>nk4>b89>b76=90?01<7:e;3fa>{t9m=96=48{<36gd<6j>16=8m?:0`4?8`593;2963>97:95`c<5833=7?m9:?e31<6j116j9k51c58yv7c?80;69u214ab>4d134;>o=4>b89>b74=90?01<797;3fa>{t9m=;6=47{<36gg<6j>16=8m>:0`4?8`5;3;2963>96095g1<583=:7?je:?2=2`=9k301k99:0`;?8`283;i;6s|1e4e>5<3s4;>oo4>b79>50e628h270h=4;3:1>;61??1=hk4}r3g2`<721q6=8ml:0`4?872k;0:n:52f3795<3<583<<7?m7:?2=32=9lo01<78d;3a=>;a?10:n552f4095g150ed28h=70?:c382f<=:n;<1=4;4=0;5b?7e?27:5;=51dg894?0k3;i563i7882f==:n<91=o94}r3g2f<721q6=8mk:0`4?872k:0:n:52f3595<3<583=i7?m7:?2=34=9lo01<78b;3a=>;a?h0:n552f4695g150ec28h=70?:c282f<=:n;21=4;4=0;5`?7e?27:5;?51dg894?0i3;i563i7c82f==:n;a?j0:n552f4495g150eb28h<70?:c582f<=:9054z?21dc=9k<01<;n4;3a=>;a890:5852187g>4cb3ty:h;950;5x943fn3;i;63>5`795g1<5o::6<7:;<3:1f<6ml16=49<:0`:?8`3n3;i463i2b82f2=z{8n=:7>54z?21d`=9k<01<;n5;3a=>;a8;0:5852187a>4cb3ty:h;;50;:x943e83;i;63>5`495g1<5o:86<7:;<3:20<6j>16=4;n:0gf?87>?80:n452f4395g><5o8n6p1<;m0;3a2>;6=h<1=o74=g27>4?234;2944>ed9~w4b1;3:18v3>5c395g1<58?j;7?m7:?e40<61<16=4;7:0gf?xu6l?81<7:t=07a5?7e>27:9l951c;89c61283>70?65682a`=z{8n==7>54z?21g4=9k=01<;n8;3a3>;a8>0:58521875>4cb3ty:h;>50;6x943e:3;i:63>5`:95g?<5o:36<7:;<3:10<6ml1v4d134;>4l4>b89>a`5=90?01<7:2;3fa>{t9m?o6=48{<36=0<6j>16=86m:0`4?8cb<3;2963>94395`c<583=:7?m9:?e6a<6j116j=j51c58yv7c=j0;69u214;6>4d134;>4o4>b89>a`3=90?01<7:0;3fa>{t9m?i6=47{<36=3<6j>16=86l:0`4?8cb>3;2963>94;95g1<583?j7?je:?2=32=9k301k55;4>b79>50>d28h270kj7;3:1>;61<21=o94=0;7a?7bm27:5;=51c;89c5728h370h>0;3a3>{t9m?26=47{<36=2<6j>16=86k:0`4?8cb03;2963>94595g1<583?h7?je:?2=34=9k301k=>:0`;?8`693;i;6s|1e7;>55:4>b79>50>c28h270kj9;3:1>;61<<1=o94=0;7g?7bm27:5;?51c;89c5528h370h>2;3a3>{t9m?<6=47{<36==<6j116=86j:0`4?8cbi3;2963>94795g1<583?n7?je:?2=36=9k301k=<:0`;?8`6;3;i;6s|1e75>5<0s4;>554>b69>50>b28h270?65582f2=:90?86:51c:89c7328h<7p}>d4794?2|58?<00:ih5rs0f60?6=?r7:9:l51c589430:3;i;63jc`82=0=:90>36d4194?2|58?<>0:ih5rs0f66?6==6p1<;8c;3a2>;6=>91=o74=dag>4?234;2884>ed9~w4b283:18v3>56f95g1<58?<87?m7:?fg`<61<16=4:;:0gf?xu6l=l1<7:t=074`?7e>27:9::51c;89`ea283>70?64282a`=z{8n?i7>53z?212c=9k<01<;85;3a=>;61=k1=hk4}r3g0a<72=q6=88<:0`5?872=h0:n452ec395<3<583?=7?je:p5a2d290=27:59>51dg894?3n3;i563jeg82f==:mm>1=o94}r3g0g<721q6=88;:0`5?872=k0:n452ec195<3<583?:7?m7:?2=6`=9lo01<7;e;3a=>;bn90:n552ee795g1500228h<70?:5b82f2=:mk>1=4;4=0;71?7e?27:5>k51dg894?3l3;i563jf082f==:mm<1=o94}r3g0<<721q6=88::0`5?872=j0:n452ec795<3<583?87?m7:?2=6b=9lo01<7;c;3a=>;bn;0:n552ee595g1500128h370?:5e82f2=:mk<1=4;4=0;77?7e?27:5>m51dg894?3j3;i563jf282f==:mm21=o94}r3g02<72>q6=889:0`4?872=m0:n452186b>4d034;28?4>ed9>5<3428h270ki4;3a<>;bl00:n:5rs0d23?6=p1<;;d;3a3>;6==>1=o94=d;g>4?234;ied9~w4`6=3:18v3>55f95g0<58??87?m9:?f=`<61<16=o>6:0gf?xu6n8>1<7:t=077a?7e?27:99;51c589`?a283>70?m0982a`=z{8l:?7>54z?211c=9k<01<;;5;3a=>;bi90:58521c24>4cb3ty:j<<50;1x9433n3;i:63>55495g?<58h;o7?je:p5c76290=27:5>h51c;894d7>3;ni63jbc82f==:mh>1=o94}r3e55<720q6=8=8:0`4?872:o0:n:52e9`95<3<58h;57?m7:?2=6c=9k301;bjj0:n552e8395g?<5lk>6;6=;l1=o74=d:`>4?234;i<54>b69>5<5c28h270?m0582a`=:mkn1=o64=d;1>4d>34oj:7?m7:p5c6b2902w0?:3982f==:9<9;6=27:n=951c5894d7;3;ni63>92a95g?<5lhn64>b89~w4`7l3:1;v3>52:95g1<58?8<7?m9:?2f5e=9k=01<7;2;3a=>;6j981=hk4=d`e>4d?34oj47?m7:p5c6d290?w0?:2382f3=:9<;j6::0`4?xu6n9h1<78t=0717?7e?27:91v55z?2172=9k<01<;>c;3a=>;b1=0:n:521`df>4cb34;ib69~w4`703:18v3>51d95g0<58?;;7?m9:?2ecb=9lo01{t9o:<6=4:{<3655<6j116=8>7:0`4?87fno0:n:521`d`>4cb34o387?m9:p5c61290?w0?:1182f2=:9<:3627:8k:51c;89`>728hj70?nf`82a`=:9hlh6p1<:id;3a2>;6v3j6982a`=::9?26j7>52z?f32<6ml16>=:j:0`5?xud>90;6?u2e6:95`c<5;:><7?m6:pg37=838p1h96:0gf?847=;0:n;5rsb41>5<5s4o4d13tyh:94?:3y>a2e=9lo01?>:8;3a2>{tk<81<74cb348;9i4>b79~wf342909w0k9b;3fa>;58ed9>650628h=7p}l5483>7}:m?n1=hk4=3257?7e>2wxo8850;0x9`0b28on70v3j7182a`=::9<26b8c95g>i7>52z?f33<6ml16=o7m:0`;?xu6n991<7=t=06fe?7e?27:8h=51c;894ga03;ni6s|c5d94?5|5l>36?o8;81=n;4=0:a0?7bm2wxo9j50;1x9`3b2;k<70k83;3`1>;601?1=hk4}ra7g?6=;r7n:?4=a69>a21=9j?01<6:5;3fa>{tk=h1<7=t=d40>7g034o<47?l5:?2<7>=9lo0q~m;a;297~;b>=09m:52e6;95f3<58=mn7?je:pg1?=839p1h8::3c4?8c0i3;h963>7bg95`c53z?f23<5i>16i:l51b78941f;3;ni6s|c5594?5|5l<<6?o8;3:1?v3j4881e2=:m?k1=n;4=0564?7bm2wxo9;50;1x9`2f2;k<70k9b;3`1>;6?;=1=hk4}ra70?6=;r7n8o4=a69>a3e=9j?01<9?0;3fa>{tk=91<7=t=d6`>7g034o=h7?l5:?22ag=9lo0q~m;2;297~;b68395`c53z?f0c<5i>16i:>51b789401n3;ni6s|c2d94?5|5l?;6?o8;v3j5081e2=:9?8o65e095`c52z?f13<5i>16=8lj:0gf?xud;00;6?u2e4596d1<58?j?7?je:pg6>=838p1h;7:3c4?872000:ih5rsb14>5<5s4o>574cb3tyh?84?:3y>a0d=:h=01<;;2;3fa>{tk:>1<77g034;>>i4>ed9~wf542909w0k:d;0b3>;6=831=hk4}ra06?6=:r7n9k4=a69>506128on7p}l3083>6}:m?:1>l94=d57>4e234;?j>4>ed9~wf572908w0k91;0b3>;b?<0:o85215g1>4cb3tyh>k4?:3y>a20=9j?01<:k3;3fa>{t9o=:6=4={<3e37<6ml16=9o>:0`a?xu6n?l1<7?n{<3e35<6ml16=9o9:0`b?874m<0:nl5212:b>4df34;8??4>b`9>57bc28hj70?=8d82fd=:9;8?64df34;;ok4>b`9>55?228hj70??5d82fd=:998<6b`9>b4df34oo?7?ma:?ffd<6jh1v4de3ty:j;l50;3:87a>j0:ih5215c;>4de34;8i:4>bc9>56>d28hi70?<3582fg=:9;i?64de34;:8h4>bc9>546b28hi70??d082fg=:993<64de34l?j7?mb:?e6a<6jk16j=k51c`89`ca28hi70kmc;3af>{t9o<26=4;{{t9o<<6=4>8z?2b3>=9lo01<:na;3af>;6;l31=ol4=015b?7ej27:?<651c`8944d>3;in63>26495gd<588947?mb:?25ab=9kh01;69<:1=ol4=0324?7ej27:13;in63>07095gd<58:9n7?mb:?ebd<6jk16jn751c`89c?b28hi70h86;3af>;a=80:no52f3d95gd<5ll:6?0:ih52f2295gd<5ll961=hk4=06;f?7ej27:?nl51c`8945093;in63>30c95gd<588h47?mb:?262>=9kh01<<=a;3af>;69ml1=ol4=03:0e795gd<58:2n7?mb:?2432=9kh01<>=d;3af>;anj0:no52fb`95gd<5ok;6bc9>ac5=9kh0q~?i6083>1}:9o<96bc9>ac2=9kh0q~?i5g83>42|58l=<7?je:?20=b=9kh01<=ld;3af>;6;>91=ol4=012g?7ej27:>no51c`89440i3;in63>23a95gd<58;n=7?mb:?25;698>1=ol4=02g3?7ej27:<4j51c`89461>3;in63>03d95gd<5oln6bc9>b65=9kh0q~?i5e83>1}:9o?n6bc9>b62=9kh0q~?i5c83>44|58l>o7?je:?20=`=9kh01<=lf;3af>;6;>?1=ol4=012a?7ej27:>nm51c`89440k3;in63>23g95gd<58;n?7?mb:?25;698<1=ol4=02g=?7ej27:<4h51c`8946103;in63>02395gd<5oim64de34lo<7?mb:?e3a<6jk1vj>:0`a?874?>0:no521203>4de34;9oh4>bc9>571b28hi70?=3182fg=:98o>64de34;;m<4>bc9>555428hi70hk1;3af>{t9o=>6=4;{<3e33<6ml16==o=:0`a?877;=0:no52fe095gd5c1?28on70?;9282fg=:9:n86<=:0`a?875l90:no5213:3>4de34;9??4>bc9>54c028hi70?>a182fg=:98?j60`695gd<58:8:7?mb:p5c1e290hw0?i7b82a`=:9=3<69m:0`a?874:=0:no5213f1>4de34;94?4>bc9>575328hi70?>e882fg=:98k96n1<7:t=0d4a?7bm27:=l=51c`89476l3;in63>0`495gd5c>728on70?;9882fg=:9:n<6<9:0`a?875l=0:no5213:7>4de34;9?;4>bc9>54g328hi70?>1d82fg=z{8l3=7>54z?2b=4=9lo01<<<7;3af>;69h?1=ol4=032b?7ej2wx=k6<:18;87a0=0:ih5215;a>4de34;8h44>bc9>561a28hi70?<2982fg=:9;n=6i951c`8944413;in63>1`595gd5c>?28on70?;9e82fg=:9:ni62e;95gd<5888n7?mb:p5c>e290?w0?i8b82a`=:9=3m651c`8945?<3;in63>2e`95gd5c?728on70?;a382fg=:9:2>6;64de3ty:j4;50;64873l=0:n;5215gb>4df34;?i>4>b99>51`d28h270?;f582f==:9<:m64d>34;>>h4>b99>502d28h270?:4282f==:9<<864d>34;>4l4>b99>50gb28h270?:a582f==:94d>34;>j44>b99>534528h270?91682f==:9?>:64d>34;=;54>b99>53g128h270?99`82f==:9?ii64d>34;<<44>b99>525b28h270?83182f==:9><<64d>34;b99>52c128h270?8d682f==:91;864d>34;39h4>b99>5=?c28h270?78d82f==:91ih64d>34;2=o4>b99>5g4228on70k76;3ae>;6j9:1=o64=0`31?7e02wx=ko?:187<~;61=o94=06fe?7e>27:8h=51cc8942al3;i;63>4g795gg<58?:<7?m9:?215>=9k<01<;=3;3a<>;6=8h1=o84=0703?7e027:9?h51c489433l3;i463>55695g0<58?=87?m8:?210d=9k<01<;8b;3a<>;6=>81=o84=07:1?7e027:95l51c48943fn3;i463>5`795g0<58?hm7?m8:?21f6=9k<01<;j7;3a<>;6=mi1=o84=0431?7e027:9ko51c489405;3;i463>60:95g0<587?m8:?2261=9k<01<893;3a<>;6><=1=o84=04;1?7e027:::751c48940f?3;i463>68`95g0<58;6>l>1=o84=0523?7e027:;=o51c489414n3;i463>72395g0<58==47?m8:?230g=9k<01<961;3a<>;6?191=o84=05af?7e027:;lj51c48941b?3;i463>7e:95g0<582:87?m8:?2<53=9k<01<6;0;3a<>;60:81=o84=0:5b?7e027:48h51c4894>>m3;i463>89d95g0<582hh7?m8:?2;60ln1=o84=0;1`?7e027:5ag`95g><58kmj7?m8:?2f55=9kh01h67:0`:?xu6nhh1<7::{<37`1<6j116=9k;:0`5?873nm0:n55215d6>4d134;>==4>b`9>506?28h370?:2282f<=:9<;i64d?34;>:94>b89>503e28h370?:7c82f<=:9<=964d?34;>ol4>b89>50e728h370?:e682f<=:94d?34;=8?4>b89>535028h370?96282f<=:9??<60:n45217;a>4d?34;=on4>b89>53da28h370?9f082f<=:9?o?6n:0`;?870;o0:n4521612>4d?34;<:54>b89>523f28h370?89082f<=:9>2860:n45216f;>4d?34;3=94>b89>5=6228h370?74182f<=:919964d?34;3oi4>b89>5=db28h370?7fb82f<=:91oo64d?34o347?m7:p5cgb290?8v3>4e795g0<58>n87?m7:?20cb=9k301<:i5;3a3>;6=8:1=o84=07352:95g?<58?8<7?m6:?211c=9k201<;;5;3a2>;6=??1=o64=076g?7e>27:9:m51c:89430;3;i:63>58495g><58?3o7?m6:?21g6=9k201<;n6;3a2>;6=jh1=o64=07`5?7e>27:9h651c:8943cm3;i:63>61495g><58?mo7?m6:?2272=9k201<8>a;3a2>;6>=91=o64=040=?7e>27::;:51c:89402i3;i:63>69495g><58<=9k201<86e;3a2>;6>jn1=o64=04`7?7e>27::k=51c:8940b03;i:63>70;95g><58=;i7?m6:?2314=9k201<9<6;3a2>;6??h1=o64=056b?7e>27:;4;51c:8941?03;i:63>7cd95g><58=i>7?m6:?23`e=9k201<9ke;3a2>;60831=o64=0:3f?7e>27:49;51c:894>4?3;i:63>86795g><582=:7?m6:?2;60m91=o64=0:`0?7e>27:5=<51c:894>a;3;i:63>92695g><583987?m6:?2f7e=9lo01h7;:0`;?xu6nhl1<7:={<37`0<6j>16=9k;:0`;?873nm0:nl5215d6>4d?34;><44>b79>504328h370?:1b82f3=:9<9364d>34;>9n4>b99>501d28h270?:7282f==:9<3=64d>34;>o<4>b99>50c?28h270?:dd82f==:9?:=64d>34;=?44>b99>530328h270?95`82f==:9?2=64d>34;=o>4>b99>53`428h270?9e982f==:9>;264d>34;<9k4>b99>52?228h270?88982f==:9>hm64d>34;3b99>5=2228h270?73682f==:91=>64d>34;3o94>b99>5<6528h270?7f282f==:909?6{<37`0<6j116=9k;:0`:?873n?0:n;52142:>4d034;>>94>b89>507d28h<70?:3982f3=:9<9;616=8:9:0`b?872>?0:n452147g>4d134;>;i4>b99>501328h=70?:9682f==:9<2o64d134;>i44>b99>50ba28h=70?90682f==:94d134;=:84>b99>533e28h=70?98682f==:9?=o64d134;=j94>b99>53c>28h=70?81`82f==:9>:m6j0:n5521643>4d134;<5;4>b99>52>>28h=70?8c182f==:9>h864d134;38;4>b99>5=5?28h=70?77782f==:91<<64d134;2<>4>b99>5=`328h=70?63482f==:908>664df34;>=n4>b99>505628h=70?:4g82f==:9<>=64d?34;>5:4>b89>50>c28h370?:b082f<=:94d?34;=<:4>b89>50`c28h370?92482f<=:9?;i6<0:n452177a>4d?34;=4:4>b89>531c28h370?9a882f<=:9?3m64d?34;<=l4>b89>526a28h370?84282f<=:9>9<64d?34;b89>52d428h370?8ee82f<=:9>nm6l:0`;?87?4d?34;3;;4>b89>5=0028h370?7a482f<=:913=68:0:n45219d7>4d?34;2?84>b89>5<4228h370?m2g82a`=z{8li>7>53gy>51b228hj70?;e482f3=:9=l=64d>34;>8;4>b69>500128h=70?:5e82fd=:9<=n64d?34;>m54>b79>50ec28h370?:c282f3=:97:0`;?872nl0:n;521705>4d?34;==n4>b79>532228h370?93c82f3=:9?<=64d?34;=m=4>b79>53ea28h370?9c482f3=:9?l>64d?34;b79>520c28h370?86082f3=:9>3<6:0`;?870j=0:n;5216gf>4d?34;b79>5=7e28h370?70e82f3=:91><610:n;5219c5>4d?34;35:4>b79>5=b228h370?7c782f3=:90:?6:?0:n;521c13>4cb3ty:jo=50;1f873l?0:n;5215g6>4d034;?j;4>b89>506>28hj70?:1e82f2=:9<9:64d?34;>;84>b79>50??28hj70?:8d82f==:94d>34;>i=4>b99>536?28h270?:fd82f==:9?8=64d>34;=9n4>b99>53>?28h270?97d82f==:9?kj64d>34;=il4>b99>527e28h270?81182f==:9>>?680:n55216;4>4d>34;<4l4>b99>52e628h270?8b582f==:9>on64d>34;3?44>b99>5=1028h270?76982f==:91k=64d>34;3j84>b99>5<5128h270?62782f==:9k9:66n:0`5?8729m0:n5521412>4d>34;>8:4>b79>503b28h<70?:7d82f<=:9<=>64df34;>oh4>b89>50e328h=70?:ec82f==:90:n552173g>4d134;=8;4>b99>535d28h=70?96682f==:9??o64d134;=h=4>b99>53e128h=70?9f782f==:9?oi6:0`5?870<<0:n552161:>4d134;<:h4>b99>520528h=70?89982f==:9>2i64d134;3=n4>b99>5=6b28h=70?74982f==:919j60:n55219;;>4d134;3h;4>b99>5=e028h=70?60482f==:91l=64d134;>b69>507c28h270?:3082fd=:9<><64d134;>n>4>b99>50g>28h=70?:cd82fd=:9:0`;?871800:n45214de>4d?34;=>:4>b89>537c28h370?94782f<=:9?9h64d?34;=mo4>b89>53g628h370?9d182f<=:9?i=64d?34;<884>b89>525>28h370?86d82f<=:9><964d?34;b89>52c628h370?71b82f<=:91:n64d?34;3m:4>b89>5=??28h370?7d782f<=:91i<6;>0:n4521804>4d?34;i?>4>ed9~w4`>03:1?ou215f5>4d>34;?i84>b`9>51`028h<70?:0`82f==:9<;o64d134;>4k4>b69>50d428h270?:a882f2=:94d>34;=<=4>b79>534?28h370?91d82f3=:9?><64d?34;=4=4>b79>53gd28h370?9a382f3=:9?n:64d?34;<=?4>b79>522128h370?83`82f3=:9>4d?34;b79>52`728h370?8e382f3=:91;o64d?34;3:l4>b79>5=g?28h370?79882f3=:91n<69:0`;?87?n>0:n;52181;>4d?34;2>54>b79>5g5328on7p}>f8;94?5fs4;?h;4>b`9>51c128h=70?;f682f==:9<:j60:n452147f>4df34;>;;4>b69>50>a28h370?:b282fd=:94df34;=<=4>b99>534?28h270?91d82f==:9?><64d>34;=4=4>b99>53gd28h270?9a382f==:9?n:64d>34;<=?4>b99>522128h270?83`82f==:9>4d>34;b99>52`728h270?8e382f==:91;o64d>34;3:l4>b99>5=g?28h270?79882f==:91n<69:0`:?87?n>0:n552181;>4d>34;2>54>b99>5g5228on7p}>f8c94?5>s4;?h:4>b79>51c128h<70?;f682f<=:9<:j616=8==:0`;?872<>0:nl52147e>4d134;>;;4>b99>50>a28h270?:a`82f3=:964df34;=>44>b69>537a28hj70?94982f<=:9?9n64d134;=mi4>b99>53g428h=70?9d382f==:9?i364d134;<8:4>b99>525e28h=70?87182f==:9>4d134;b99>52c428h=70?71d82f==:91;;64d134;3m44>b99>5=?f28h=70?7d982f==:91i26;00:n552180:>4d134;i?;4>ed9~w4`>j3:1?5u215f4>4d034;?i;4>b99>51`028hj70?:0c82f3=:9<;n64d>34;>4k4>b`9>50gf28h<70?:c482f==:9>:0`5?871:00:n552173e>4d134;=854>b`9>535b28h370?96882f<=:9??m6:0`;?871im0:n45217c0>4d?34;=h?4>b89>53e?28h370?9f982f<=:9?oo60:n452161a>4d?34;<;=4>b89>520328h370?89`82f<=:9>2o64d?34;3=h4>b89>5=7728h370?74`82f<=:919h64d?34;3h54>b89>5=e>28h370?60682f<=:91l360:ih5rs0d:g?6=;>q6=9j8:0`;?873m?0:n45215d;>4d134;>b69>507b28h270?:3382fd=:9<>364d?34;>o84>b89>50c428h=70?90082f2=:9?82616=;:7:0`5?871;l0:nl52174b>4d034;=:=4>b`9>53>d28h270?98382f3=:9?kn64d?34;=ih4>b79>527a28h370?81582f3=:9>>36:0`;?870><0:n;5216;a>4d?34;<4h4>b79>52e228h370?8b982f3=:9>l964d?34;3?i4>b79>5=1e28h370?76b82f3=:91kj64d?34;3j44>b79>5<5f28h370?62`82f3=:9k93616=8>m:0`;?8729l0:nl521410>4d134;>854>b99>503a28h270?:7682f3=:9<3;64d?34;=>44>b`9>537a28h370?93g82f3=:9?4d>34;=m94>b99>53b428h270?9c882f==:9?l264d>34;b99>521628h270?86482f==:9>3i64d>34;b99>5=7a28h270?71082f==:91>i6j0:n55219cb>4d>34;35o4>b99>5=b>28h270?7c`82f==:90:36:h0:n5521c2g>4cb3ty:j4k50;16873l>0:nl5215g4>4d134;?j54>b99>506e28h270?:1g82f3=:9<9860:n:5214;3>4d?34;>ml4>b`9>50e128h=70?:e282f==:9?::6h0:n4521743>4d034;=4n4>b79>53>528hj70?9ag82f2=:9?k>64d134;<>=4>b99>527228h=70?84882f==:9>9o64d134;b99>52d>28h=70?8f282f==:9>o>64d134;3;n4>b99>5=0c28h=70?7ac82f==:913h6800:n55219db>4d134;2?o4>b99>5<4e28h=70?m1c82a`=z{8l2j7>535y>51b?28h=70?;e682f2=:9=l364df34;>:=4>b79>501028h370?:9182f<=:916=8k<:0`:?871880:nl521703>4d034;=?k4>b99>530f28hj70?96182f==:9?2864d?34;=jl4>b89>53ca28h370?82182f<=:9>;>64d?34;<5n4>b89>52>a28h370?8c782f<=:9>h264d?34;38n4>b89>5=5b28h370?77b82f<=:914d?34;2<44>b89>5=`f28h370?63c82f<=:908i64d?34;>?>4>b89>502>28h=70?:6182f2=:9<=<64df34;=b79>534728h370?93g82f<=:9?<:616=;oi:0`:?871i<0:n:5217f7>4d134;=ol4>b`9>53`528h<70?9e482fd=:9>;364d?34;<9o4>b79>52?528h370?88582f3=:9>hh64d?34;3<;4>b79>5=2628h370?73282f3=:91=;64d?34;3nk4>b79>5=`c28h370?7ed82f3=:908n64d034;>=k4>b89>505428hj70?:4882f2=:9<<;6:0`5?872ik0:n55214a5>4d>34;>i94>b79>536528h<70?92182f<=:9?9m616=;6<:0`;?871io0:nl5217c6>4d?34;=o=4>b79>53`528h370?9e482f3=:9>;364d>34;<9o4>b99>52?528h270?88582f==:9>hh64d>34;3<;4>b99>5=2628h270?73282f==:91=;64d>34;3nk4>b99>5=`c28h270?7ed82f==:908n6{<37`=<6j016=9k8:0`b?873n00:n:52142`>4d?34;>=k4>b`9>505328h=70?:4882f==:9<<;6:0`4?872ik0:n45214a5>4df34;>i94>b69>536528h370?92182fd=:9?>;64d034;=j?4>b89>53c228h<70?81982f3=:9>:i616=:=<:0`b?870>h0:n452167`>4d134;<5>4>b99>52>228h=70?8be82f==:9>km64d134;38?4>b99>5=5328h=70?77082f==:91<:6:0`5?87?ko0:n55219a3>4d134;3jh4>b99>5=ca28h=70?62g82f==:90;n64d134;>?94>b69>502>28h270?:6182fd=:9<=360:n;5214g7>4d?34;=b89>534628h=70?94182f2=:9?<:64df34;=i84>b99>526d28h=70?84082f==:9>9864d?34;b89>52ga28h370?8e882f<=:9>nj68:0`;?87?<;0:n4521917>4d?34;3;<4>b89>5=0628h370?7a182f<=:913:64d?34;2>k4>b89>5<7b28h370?m2082a`=z{8lj97>52gy>51b>28h=70?;e982f2=:9=l264df34;>:<4>b79>501?28h370?:9082f<=:916=8k;:0`:?8718;0:nl521702>4d034;=8=4>b99>530628hj70?97`82f3=:9?3h64d>34;4>b69>520f28h=70?85b82fd=:9>3?64d?34;b79>5=7028h370?70982f3=:91>86;0:n;5219c2>4d?34;35?4>b79>5=b728h370?7c082f3=:91lm69o0:n;521c01>4cb3ty:jl850;0f873l00:n:5215g;>4d?34;?j44>b`9>506c28h=70?:2182f==:9<9?6:0`4?872?10:n45214;2>4df34;>mn4>b69>50e028h370?:e582fd=:9?:864d034;=5n4>b89>53e728hj70?9e782f2=:9>:h64d?34;<4;4>b79>52db28hj70?8b182f==:9>oj64d>34;3?84>b99>5=1528h270?76382f==:91k:64d>34;3j=4>b99>5<5728h270?61g82f==:9k886k:0`4?872:90:n4521417>4df34;>8l4>b69>500628h370?:7982fd=:9<3964d034;=><4>b89>532728hj70?95982f2=:9?=j6:0`5?871m?0:n552162`>4d>34;b79>523c28h<70?89582f<=:9>2=64df34;3=54>b89>5=6>28h=70?74582f==:919=64d134;3h<4>b99>5=e528h=70?60182f==:91l:64d034;>b99>504728hj70?:4`82f==:9<<:616=8ol:0`:?872k>0:nl5214g6>4d034;=<>4>b99>534628hj70?95982f==:9?=j616=;k9:0`:?8708j0:nl521617>4d034;<9i4>b99>52?328hj70?88782f==:9>oi64d>34;3?;4>b99>5=1428h270?76282f==:91k96:0`:?87?k;0:n5521823>4d>34;3j<4>b99>5<5628h270?62182f==:9k8=64d134;>?84>b79~w4`f13:1>8u215f:>4df34;?jl4>b99>506c28h270?:4`82f<=:9<<:64d>34;=954>b89>531f28hj70?9c082f==:9?o=64d034;3=54>b79>5=6>28hj70?77582f<=:914d134;2<<4>b99>5=`528h=70?63382f==:908:64d034;=?54>b69>501>28h<70?:3482f2=:918364df34;=954>b`9>53e628h270?83582f<=:9>?o64d?34;3m>4>b89>5=?328h370?7d382f<=:91i86;;0:n4521802>4d?34;i>54>ed9>5=4028h=70?8b082f==:9?3o64d134;<b79>537>28h=70?:c982f3=:9<8:64df34;b`9>5=1328h=70?76582fd=:909864d034;b89>53?c28h270?93982f<=:9<=260:n:52162g>4d034;==44>b69>50e?28h<70?:2082f2=:9=o2616=5<7:0`b?87e?;0:n5521c50>4d?34;i;94>b99>5g1228h370?m7782f==:9k=<64d?34;i9i4>b99>5g3b28h370?m5g82f==:9k<;6:0:n5521c47>4d?34;i:84>b99>5g0128h370?m6982f==:9k<26m0:n5521c4f>4d?34;i:k4>b99>5g1728h370?m7082f==:9k=h64df34;3n94>b`9>5=>228h270?m6682fg=z{8ljh7>529y>5<5428h<70?62382f==:9k8i616=5<8:0`;?873i<0:n;5219g0>4d?34;3>54>bc9>5g1528hj70?m7282fd=:9k=?60:nl521c5;>4df34;i9l4>b`9>5g3e28hj70?m5b82fd=:9k?o690:nl521c42>4df34;i:?4>b`9>5g0428hj70?m6582fd=:9k<>600:nl521c4b>4df34;i:n4>b`9>5g0c28hj70?m6d82fd=:9k:0`b?87e?j0:n55215c2>4d034;3484>bc9~w4`e<3:1>lu21cda>4cb34;i

b99>5g6a28h370?m1282f==:9k;?60:n5521c3;>4d?34;i=44>b99>5g7f28h370?m1182f==:9k;:64d?34;?5;4>b79>514f28h=70k7f;3a2>;bi;0:n;52ec:95g0<5ln:627mj94>b79>553d28h=70?>9182f3=:98l:64d134;8hk4>b79>a=0=9k201;6j9<1=o64=0`34?7ej27:n=;51c`89`1b28h370k72;3a<>;b190:n5521c2b>4df3ty:jo;50;0187enj0:ih521c06>4d?34;m;?4>b79>5d`d28hi70?nf882fg=:9=9>666:0`b?874;80:n55213f`>4df34;94i4>b`9>575b28hj70?>d982fd=:983:6m:0`;?877kl0:n55211;7>4df34;;9i4>b99>554128hj70hi5;3a<>;ak=0:n552f8;95g><5o=:6l4>b`9>b5d=9k201hkl:0`b?8cc:3;im63jb882fd=:mh91=oo4=d5f>4df34o3>7?ma:?f=5<6jh1v51c489`>328h370?m0582f<=:m0;1=o64=0674?7e127nm94>b89~w4`dj3:1=ku21b31>4cb34;i>44>b99>5d`b28h270?i6d82f3=:m081=o64=0671?7e127:8l951c;8945b>3;i563>39`95g?<5898?7?m9:?26ac=9k301<<83;3a=>;6:;?1=o74=03ge?7e127:=4=51c;89473l3;i563>11f95g?<58:o<7?m9:?24<0=9k301<>:f;3a=>;68;21=o74=gd4>4d>34lh:7?m9:?e=g<6j016j:=51c;89c2b28h270h=c;3a=>;a8m0:n452edg95g?<5ln?64d?34;m:n4>b79>5g6528h270k63;3a<>;6<=<1=o74=df6>4d>34oj:7?m9:p5cea290:ov3>c0495`c<58h9h7?m8:?2b3g=9k<01<:;7;3a=>;62b795g?<588<97?m9:?2671=9k301;690?1=o74=037b?7e127:==h51c;8946c:3;i563>08:95g?<58:==7?m9:?247g=9k301kh6:0`:?8`d03;i563i9e82f<=:n>?1=o74=g73>4d>34l9i7?m9:?e4c<6j016ii851c;89`g028h27p}>fe294?1|58i:;7?je:?2f7c=9k201;6<=21=o74=g33>4d>34oo;7?m9:?fe=<6j01v4d>34;8ol4>b89>561728h270?<1882f<=:9;i<64d>34;:9<4>b89>547628h270??d582f<=:993j64d>34l>>7?m9:?e54<6j016ii651c;8yv7al;0;6:u21b3:>4cb34;i?=4>b99>5c0328h=70?;4`82f<=:n<91=o74=g31>4d>34oo57?m9:p5cb4290:4v3>c0c95`c<58h8=7?m8:?2b34=9k<01<:=b;3a=>;6<1i1=o74=01`g?7e127:?:<51c;89456j3;i563>2b;95g?<588<57?m9:?267d=9k301;69031=o74=0367?7e127:=<=51c;8946c>3;i563>08a95g?<58:=97?m9:?247c=9k301khk:0`:?8`dk3;i563ia082f<=:n<>1=o74=g30>4d>3ty:jo850;5x94dal3;ni63>b2095g><58l=<7?m6:?207e=9k301ko=:0`:?8`2=3;i563i1582f<=z{8li;7>517y>5g`b28on70?m3282f==:9o?n64d>34;8=i4>b89>57ee28h270?=7c82f<=:9;8o64d>34;;h54>b89>55?b28h270??6682f<=:999;64>b89>b00=9k30q~?ib983>2}:9klm64d>34lj87?m9:?e12<6j01v4d>34;8h=4>b89>561128h270?<1g82f<=:9;io64d>34;:9:4>b89>547028h270??d`82f<=:99k;6>:0`:?8`f=3;i56s|1g`b>5<0s4;h<<4>ed9>5g5128h370?i7582f3=:9=9;6=:0`:?8`f>3;i56s|1g`a>5<6:r7:o=<51dg894d4?3;i463>f6495g0<58>8=7?m9:?20<4=9k301<=k2;3a=>;6;>21=o74=0115?7e127:>nh51c;89440n3;i563>22395g?<58;n:7?m9:?25<`=9k301;69831=o74=02gg?7e127:<;l51c;89467;3;i56s|1g``>5<0s4;h<>4>ed9>5g5?28h370?i7982f3=:9=996;:0gf?87e8m0:n5521g5b>4d134;??>4>b89>51?328h270?:0`:?875080:n4521310>4d>34;:i54>b89>54g628h270?>5c82f<=:99nn614a95g?<58:oj7?m9:?243c=9k30q~?ibg83>`}:9j:=64d>34;8h;4>b89>561d28h270?<2482f<=:9;n864d>34;;i=4>b89~w4`d93:1;v3>c1:95`c<58h:i7?m8:?2b=6=9k<01<:<7;3a=>;69lh1=o74=036a?7e127:4cb34;i=k4>b99>5c>528h=70?;3982f<=:9=3j69j:0`:?874:>0:n45213f6>4d>34;9484>b89>54cd28h270?>5g82f<=z{8lh?7>57z?2g5g=9lo01;6n1>1=o84=060=?7e127:>5851c;8947bl3;i563>17295g?5f6e28on70?m2082f==:9o2=64d>34;8>44>b89>57>028h270?>ed82f<=z{8lh97>57z?2g5e=9lo01;6n121=o84=060f?7e127:??o51c;8944?03;i563>1dd95g?5f6c28on70?m2282f==:9o2j64d>34;9444>b89~w4`d?3:1;v3>c1g95`c<58h987?m8:?2b=e=9k<01<:;6;mn1=o74=011g?7e127:>5o51c;8yv7ak10;6;u21b2e>4cb34;i>;4>b99>5c>b28h=70?;3d82f<=:9:o;651c48941??3;in63>71f95gd<58<:57?mb:?21f>=9kh01<;=1;3af>;65ed9>5g4?28h370?i9382f3=:9=>:6n:0`a?871m>0:no52175a>4de34;>mi4>bc9>500528hi70?;d`82fg=z{8lho7>510y>5f7428on70?m2`82f==:9o3?64d>34;>8o4>b89>506b28h270?;4382f<=:9:o864df34;>:?4>b`9>51bf28hj7p}>fbf94?42s4;h=94>ed9>5g4e28h<70?76482f2=:9>h:616=;m=:0`4?8711m0:no52171;>4de34;>hi4>b69>50?428h<70?:7882fg=:9<9>616=:jk:0`;?8700>0:nl52167f>4d?34;<b`9>533>28h370?91882fd=:94d?34;?i44>b`9>512428h<70?70`82f<=:9?o<6;0:n45215fb>4d>34;3n>4>b99>5=>328h<70?m6c82f<=:91h?6=h6=4={<54`?7bm27<;h4>b89~wf632909w0m?4;3fa>;>:k0:n55rsb26>5<5s4i;97?je:?:6g<6jk1vo77:1818d>03;ni637cb82f==z{k326=4={<`:=?7bm273on4>bc9~w722m3:1>v3=44g95`c<5;>=<7?m7:p613a2909w0<;5g82a`=::=<;6;5;oh1=o94}r00bd<72;q6>>hn:0gf?844nk0:nl5rs361g?6=:r798?m51dg89725m3;i;6s|250g>5<5s48?>i4>ed9>614b28hj7p}9e183>7}:>l:1=hk4=7g1>4d03ty=i<4?:3y>2`7=9lo01;k=:0`b?xu08h0;6?u271c95`c<5>:h676|5j:h644>b`9>f67=9kk01o==:0`b?8d4;3;im63m3582fd=:j:?1=oo4=c15>4df34h8;7?ma:?a5<<6jh16nc;3ae>;e9m0:nl52b0g95gg<5k;m6<4>b`9>f74=9kk01o<<:0`b?8d5<3;im63m2482fd=:j;<1=oo4=c04>4df34h947?ma:?a6g<6jh16n?m51cc89g4c28hj70l=e;3ae>;e:o0:nl52b2295gg521y>g5b=9lo01n>i:0`4?8d513;i463m3082f==:j:81=o64=c10>4d?34h887?m8:?a70<6j116n>851c:89g5028h370l>9;3a<>;e9h0:n552b0`95g><5k;h6b99>f4`=9k201o4d?34h997?m8:?a63<6j116n?951c:89g4?28h370l=b;3a<>;e:j0:n552b3f95g><5k8n6b99~wf6b29094d>34h8=7?m9:?a77<6j016n>=51c;89g5328h270l<5;3a=>;e;?0:n452b2595g?<5k;26b89>f4e=9k301o?k:0`:?8d6m3;i563m1g82f<=:j;:1=o74=c02>4d>34h9>7?m9:?a66<6j016n?:51c;89g4228h270l=6;3a=>;e:>0:n452b3:95g?<5k8i6i4>b89>f7c=9k301ob`9><33=9kk0q~ln1;290~;ei80:ih52b`195g1<51<<6;?>>0:n45287795g?53z?`42<6ml16o=o51c;89g4f28h27p}l0983>6}:k921=hk4=b2b>4d034h9m7?m7:pg5?=839p1n>6:0gf?8e7i3;i463m2`82f==z{k3i6=4<{<`:f?7bm27i5h4>b89><3>=9k30q~l6c;297~;e1j0:ih52b8g95g1<51<36{t9mn1<7v3=07595`c<5;:=:7?ma:p5`>=838p1?>95;3fa>;58?>1=oo4}r3f=?6=:r79<;=51dg89761:3;im6s|1e:94?4|5;:==7?je:?1436=9kk0q~?k9;296~;5852z?140d=9lo01?>:a;3ae>{t9mi1<7v3=04495`c<5;:>97?ma:p5a`=838p1?>:4;3fa>;58<91=oo4}r3f4?6=:r79<8<51dg8976293;im6s|1d394?4|5;:><7?je:?141`=9kk0q~?j2;296~;58=o1=hk4=327`?7ei2wx=h=50;0x9763j3;im63=05a95`c52z?141?=9kk01?>;a;3fa>{t9l?1<73:1>v3=04;95`c<5;:?:7?ma:p65`c2909w0if;3fa>;599>1=o94}r03bc<72;q6><>?:0gf?8468=0:n55rs3334?6=:r79==?51dg89777<3;i56s|2022>5<5s48:ed9>646328hj7p}=11094?4|5;;;?7?je:?1552=9kh0q~<>c083>6}::8i:616>?>?:0a6?xu59ko1<7=t=33aa?7bm279=oj52`58977am3;h96s|20``>5<4s48:nn4>ed9>64de2;k<70<>fe82g0=z{;;im7>53z?15gg=9lo01??m9;0b3>;59oi1=n;4}r02f=<72:q6>09m:5220da>4e23ty9=o850;1x977e>3;ni63=1c796d1<5;;mm7?l5:pem38j;637e482g0=z{1hi6=4<{<:af?7bm273nl4=a69><`5=9j?0q~6m9;297~;?j00:ih528c:96d1<51o96{t0k?1<7=t=9`6>4cb342i87e;3;ni637b381e2=:0ml1=n;4}r:a5?6=;r73n<4>ed9>u28`d95`c<51kn6?o8;<:g`?7d=2wx4lj50;1x9=gc28on706nc;0b3>;?lj0:o85rs9ca>5<4s42jn7?je:?;ed<5i>164il51b78yv>f13:1?v37a882a`=:0h21>l94=9fb>4e23ty3m84?:2y>c03;h96s|8`194?5|51k86c49~w=g62908w06n1;3fa>;?i909m:528e495f353z?;=c<6ml1644k52`589=b228i>7p}79e83>6}:00n1=hk4=9;`>7g0342o87?l5:p<>i38j;637d282g0=z{1326=4<{<::=?7bm273554=a69>0:ih5288496d1<51n:6{t0091<7=t=9;0>4cb3422>7dj3;ni637c`81e2=:0ln1=n;4}r:`=?6=;r73o44>ed9>=:h=015kl:0a6?xu?k>0;6>u28b595`c<51i=6?o8;<:ff?7d=2wx4n;50;1x9=e228on706l4;0b3>;?mh0:o85rs9a0>5<4s42h?7?je:?;g7<5i>164h751b78yv>d93:1?v37c082a`=:0j:1>l94=9g;>4e23ty3ni4?:2y>b<3;h96s|8`594?5|51k<6c49~w=?62908w0661;3fa>;?1909m:528bg95f353z?:5`<6ml1657p}61`83>6}:18k1=hk4=83:>7g0343?>7?l5:p=4>=839p14?7:0gf?8?6?38j;6364082g0=z{0;=6=4<{<;22?7bm272=84=a69>=16=9j?0q~7>4;297~;>9=0:ih5290196d1<509m6{t18:1<7=t=833>4cb343;j7j:1808?7m3;ni6360e81e2=:1:i1=n;4}r;3g?6=;r72ed9>=5d=:h=014=m:0a6?xu>8h0;6>u291c95`c<50:26?o8;<;0e?7d=2wx5=650;1x9<6?28on707?7;0b3>;>;00:o85rs827>5<4s43;87?je:?:46<5i>165>951b78yv?7:3:1?v360382a`=:19;1>l94=815>4e23ty2<=4?:2y>=56=9lo015hi:3c4?8?4=3;h96s|8gg94?5|51ln6c49~w=`d2908w06ic;3fa>;?nk09m:5292195f353z?;bd<6ml164k752`589<5528i>7p}7f983>6}:0o21=hk4=9d4>7g03438=7?l5:pa=38j;6363182g0=z{1l?6=4<{<:e0?7bm273j>4=a69>=7`=9j?0q~6i2;297~;?n;0:ih528g396d1<508n62;k<707;c;3`1>{t1;21<7=t=80;>4cb3439;73;ni6362481e2=:1=k1=n;4}r;10?6=;r72>94>ed9>=75=:h=014:6:0a6?xu>:;0;6>u293095`c<508:6?o8;<;750;1x9<4728on707>f;0b3>;><>0:o85rs83`>5<4s43:o7?je:?:5g<5i>1659=51b78yv?7>3:1?v360782a`=:19?1>l94=81;>4e23ty3j=4?:2y>{t9k:n6=4={<3a4c<6ml16=?h8:0a6?xu6j9l1<74cb34;:>=4>c49~w4d6<3:1>v3>b0795`c<58:n>7?l5:p5g722909w0?m1782a`=:99k<67;3fa>;68:=1=n;4}r3a52<72;q6=o?7:0gf?8`c;3;h96s|1c3;>5<5s4;i=44>ed9>bd1=9j?0q~?m1883>7}:9k;j65g7628on70h<5;3`1>{t9k;:6=4={<3a57<6ml16ik;51b78yvc3?3:1?v3j4682a`=:m=<1>l94=d4;>4e23twe4o9?:182M4e=2we4o9>:182M4e=2we4o9=:182M4e=2we4o9<:182M4e=2we4o9;:182M4e=2we4o9::182M4e=2we4o99:182M4e=2we4o98:182M4e=2we4o97:182M4e=2we4o96:182M4e=2we4o9n:182M4e=2we4o9m:182M4e=2we4o9l:182M4e=2we4o9k:182M4e=2we4o9j:182M4e=2we4o9i:182M4e=2we4o6?:182M4e=2we4o6>:182M4e=2we4o6=:182M4e=2we4o6<:182M4e=2we4o6;:182M4e=2we4o6::182M4e=2we4o69:182M4e=2we4o68:182M4e=2we4o67:182M4e=2we4o66:182M4e=2we4o6n:182M4e=2we4o6m:182M4e=2we4o6l:182M4e=2we4o6k:182M4e=2we4o6j:182M4e=2we4o6i:182M4e=2we4o7?:182M4e=2we4o7>:182M4e=2we4o7=:182M4e=2we4o7<:182M4e=2we4o7;:182M4e=2we4o7::182M4e=2we4o79:182M4e=2we4o78:182M4e=2we4o77:182M4e=2we4o76:182M4e=2we4o7n:182M4e=2we4o7m:182M4e=2we4o7l:182M4e=2we4o7k:182M4e=2we4o7j:182M4e=2we4o7i:182M4e=2we4oo?:182M4e=2we4oo>:182M4e=2we4oo=:182M4e=2we4oo<:182M4e=2we4oo;:182M4e=2we4oo::182M4e=2we4oo9:182M4e=2we4oo8:182M4e=2we4oo7:182M4e=2we4oo6:182M4e=2we4oon:182M4e=2we4oom:182M4e=2we4ool:182M4e=2we4ook:182M4e=2we4ooj:182M4e=2we4ooi:182M4e=2we4ol?:182M4e=2we4ol>:182M4e=2we4ol=:182M4e=2we4ol<:182M4e=2we4ol;:182M4e=2we4ol::182M4e=2we4ol9:182M4e=2we4ol8:182M4e=2we4ol7:182M4e=2we4ol6:182M4e=2we4oln:182M4e=2we4olm:182M4e=2we4oll:182M4e=2we4olk:182M4e=2we4olj:182M4e=2we4oli:182M4e=2we4om?:182M4e=2we4om>:182M4e=2we4om=:182M4e=2we4om<:182M4e=2we4om;:182M4e=2we4om::182M4e=2we4om9:182M4e=2we4om8:182M4e=2we4om7:182M4e=2we4om6:182M4e=2we4omn:182M4e=2we4omm:182M4e=2we4oml:182M4e=2we4omk:182M4e=2we4omj:182M4e=2we4omi:182M4e=2we4oj?:182M4e=2we4oj>:182M4e=2we4oj=:182M4e=2we4oj<:182M4e=2we4oj;:182M4e=2we4oj::182M4e=2we4oj9:182M4e=2we4oj8:182M4e=2we4oj7:182M4e=2we4oj6:182M4e=2we4ojn:182M4e=2we4ojm:182M4e=2we4ojl:182M4e=2we4ojk:182M4e=2we4ojj:182M4e=2we4oji:182M4e=2we4ok?:182M4e=2we4ok>:182M4e=2we4ok=:182M4e=2we4ok<:182M4e=2we4ok;:182M4e=2we4ok::182M4e=2we4ok9:182M4e=2we4ok8:182M4e=2we4ok7:182M4e=2we4ok6:182M4e=2we4okn:182M4e=2we4okm:182M4e=2we4okl:182M4e=2we4okk:182M4e=2we4okj:182M4e=2we4oki:182M4e=2we4oh?:182M4e=2we4oh>:182M4e=2we4oh=:182M4e=2we4oh<:182M4e=2we4oh;:182M4e=2we4oh::182M4e=2we4oh9:182M4e=2we4oh8:182M4e=2we4oh7:182M4e=2we4oh6:182M4e=2we4ohn:182M4e=2we4ohm:182M4e=2we4ohl:182M4e=2we4ohk:182M4e=2we4ohj:182M4e=2we4ohi:182M4e=2we4n>?:182M4e=2we4n>>:182M4e=2we4n>=:182M4e=2we4n><:182M4e=2we4n>;:182M4e=2we4n>::182M4e=2we4n>9:182M4e=2we4n>8:182M4e=2we4n>7:182M4e=2we4n>6:182M4e=2we4n>n:182M4e=2we4n>m:182M4e=2we4n>l:182M4e=2we4n>k:182M4e=2we4n>j:182M4e=2we4n>i:182M4e=2we4n??:182M4e=2we4n?>:182M4e=2we4n?=:182M4e=2we4n?<:182M4e=2we4n?;:182M4e=2we4n?::182M4e=2we4n?9:182M4e=2we4n?8:182M4e=2we4n?7:182M4e=2we4n?6:182M4e=2we4n?n:182M4e=2we4n?m:182M4e=2we4n?l:182M4e=2we4n?k:182M4e=2we4n?j:182M4e=2we4n?i:182M4e=2we4n:182M4e=2we4n<=:182M4e=2we4n<<:182M4e=2we4n<;:182M4e=2we4n<::182M4e=2we4n<9:182M4e=2we4n<8:182M4e=2we4n<7:182M4e=2we4n<6:182M4e=2we4n:182M4e=2we4n==:182M4e=2we4n=<:182M4e=2we4n=;:182M4e=2we4n=::182M4e=2we4n=9:182M4e=2we4n=8:182M4e=2we4n=7:182M4e=2we4n=6:182M4e=2we4n=n:182M4e=2we4n=m:182M4e=2we4n=l:182M4e=2we4n=k:182M4e=2we4n=j:182M4e=2we4n=i:182M4e=2we4n:?:182M4e=2we4n:>:182M4e=2we4n:=:182M4e=2we4n:<:182M4e=2we4n:;:182M4e=2we4n:::182M4e=2we4n:9:182M4e=2we4n:8:182M4e=2we4n:7:182M4e=2we4n:6:182M4e=2we4n:n:182M4e=2we4n:m:182M4e=2we4n:l:182M4e=2we4n:k:182M4e=2we4n:j:182M4e=2we4n:i:182M4e=2we4n;?:182M4e=2we4n;>:182M4e=2we4n;=:182M4e=2we4n;<:182M4e=2we4n;;:181_4e93;p>9jj:|J1f0=]9k81=v3:1=vF=b49~j=e2?3:1=vF=b49~j=e203:1=vF=b49~j=e213:1=vF=b49~j=e2i3:1=vF=b49~j=e2j3:1=vF=b49~j=e2k3:1=vF=b49~j=e2l3:1=vF=b49~j=e2m3:1=vF=b49~j=e2n3:1=vF=b49~j=e183:1=vF=b49~j=e193:1=vF=b49~j=e1:3:1=vF=b49~j=e1;3:1=vF=b49~j=e1<3:1=vF=b49~j=e1=3:1=vF=b49~j=e1>3:1=vF=b49~j=e1?3:1=vF=b49~j=e103:1=vF=b49~j=e113:1=vF=b49~j=e1i3:1=vF=b49~j=e1j3:1=vF=b49~j=e1k3:1=vF=b49~j=e1l3:1=vF=b49~j=e1m3:1=vF=b49~j=e1n3:1=vF=b49~j=e083:1=vF=b49~j=e093:1=vF=b49~j=e0:3:1=vF=b49~j=e0;3:1=vF=b49~j=e0<3:1=vF=b49~j=e0=3:1=vF=b49~j=e0>3:1=vF=b49~j=e0?3:1=vF=b49~j=e003:1=vF=b49~j=e013:1=vF=b49~j=e0i3:1=vF=b49~j=e0j3:1=vF=b49~j=e0k3:1=vF=b49~j=e0l3:1=vF=b49~j=e0m3:1=vF=b49~j=e0n3:1=vF=b49~j=e?83:1=vF=b49~j=e?93:1=vF=b49~j=e?:3:1=vF=b49~j=e?;3:1=vF=b49~j=e?<3:1=vF=b49~j=e?=3:1=vF=b49~j=e?>3:1=vF=b49~j=e??3:1=vF=b49~j=e?03:1=vF=b49~j=e?13:1=vF=b49~j=e?i3:1=vF=b49~j=e?j3:1=vF=b49~j=e?k3:1=vF=b49~j=e?l3:1=vF=b49~j=e?m3:1=vF=b49~j=e?n3:1=vF=b49~j=e>83:1=vF=b49~j=e>93:1=vF=b49~j=e>:3:1=vF=b49~j=e>;3:1=vF=b49~j=e><3:1=vF=b49~j=e>=3:1=vF=b49~j=e>>3:1=vF=b49~j=e>?3:1=vF=b49~j=e>03:1=vF=b49~j=e>13:1=vF=b49~j=e>i3:1=vF=b49~j=e>j3:1=vF=b49~j=e>k3:1=vF=b49~j=e>l3:1=vF=b49~j=e>m3:1=vF=b49~j=e>n3:1=vF=b49~j=ef83:1=vF=b49~j=ef93:1=vF=b49~j=ef:3:1=vF=b49~j=ef;3:1=vF=b49~j=ef<3:1=vF=b49~j=ef=3:1=vF=b49~j=ef>3:1=vF=b49~j=ef?3:1=vF=b49~j=ef03:1=vF=b49~j=ef13:1=vF=b49~j=efi3:1=vF=b49~j=efj3:1=vF=b49~j=efk3:1=vF=b49~j=efl3:1=vF=b49~j=efm3:1=v*>d181=f=O:k?0qc6lag83>6}O9lh0D?l:;|l;gg6=83;pD?l:;|l;gg7=83;pD?l:;|l;gg4=83;pD?l:;|l;gg5=83;pD?l:;|l;gg2=83;pD?l:;|l;gg3=83;pD?l:;|l;gg0=83;pD?l:;|l;gg1=83;pD?l:;|l;gg>=83;pD?l:;|l;gg?=83;pD?l:;|l;ggg=83;pD?l:;|l;ggd=83;pD?l:;|l;gge=83;pD?l:;|l;ggb=83;pD?l:;|l;ggc=83;pD?l:;|l;gg`=83;pD?l:;|l;gf6=83;pD?l:;|l;gf7=83;pD?l:;|l;gf4=83;pD?l:;|l;gf5=83;pD?l:;|l;gf2=83;pD?l:;|l;gf3=83;pD?l:;|l;gf0=83;pD?l:;|l;gf1=83;pD?l:;|l;gf>=83;pD?l:;|l;gf?=83;pD?l:;|l;gfg=83;pD?l:;|l;gfd=83;pD?l:;|l;gfe=83;pD?l:;|l;gfb=83;pD?l:;|l;gfc=83;pD?l:;|l;gf`=83;pD?l:;|l;ga6=83;pD?l:;|l;ga7=83;pD?l:;|l;ga4=83;pD?l:;|l;ga5=83;pD?l:;|l;ga2=83;pD?l:;|l;ga3=83;pD?l:;|l;ga0=83;pD?l:;|l;ga1=83;pD?l:;|l;ga>=83;pD?l:;|l;ga?=83;pD?l:;|l;gag=83;pD?l:;|l;gad=83;pD?l:;|l;gae=83;pD?l:;|l;gab=83;pD?l:;|l;gac=83;pD?l:;|l;ga`=83;pD?l:;|l;g`6=83;pD?l:;|l;g`7=83;pD?l:;|l;g`4=83;pD?l:;|l;g`5=83;pD?l:;|l;g`2=83;pD?l:;|l;g`3=83;pD?l:;|l;g`0=83;pD?l:;|l;g`1=83;pD?l:;|l;g`>=83;pD?l:;|l;g`?=83;pD?l:;|l;g`g=83;pD?l:;|l;g`d=83;pD?l:;|l;g`e=83;pD?l:;|l;g`b=83;pD?l:;|l;g`c=83;pD?l:;|l;g``=83;pD?l:;|l;gc6=83;pD?l:;|l;gc7=83;pD?l:;|l;gc4=83;pD?l:;|l;gc5=83;pD?l:;|l;gc2=83;pD?l:;|l;gc3=83;pD?l:;|l;gc0=83;pD?l:;|l;gc1=83;pD?l:;|l;gc>=83;pD?l:;|l;gc?=83;pD?l:;|l;gcg=83;pD?l:;|l;gcd=83;pD?l:;|l;gce=83;pD?l:;|l;gcb=83;pD?l:;|l;gcc=83;pD?l:;|l;gc`=83;pD?l:;|l;`56=83;pD?l:;|l;`57=83;pD?l:;|l;`54=83;pD?l:;|l;`55=83;pD?l:;|l;`52=83;pD?l:;|l;`53=83;pD?l:;|l;`50=83;pD?l:;|l;`51=83;pD?l:;|l;`5>=83;pD?l:;|l;`5?=83;pD?l:;|l;`5g=83;pD?l:;|l;`5d=83;pD?l:;|l;`5e=83;pD?l:;|l;`5b=83;pD?l:;|l;`5c=83;pD?l:;|l;`5`=83;pD?l:;|l;`46=83;pD?l:;|l;`47=83;pD?l:;|l;`44=83;pD?l:;|l;`45=83;pD?l:;|l;`42=83;pD?l:;|l;`43=83;pD?l:;|l;`40=83;pD?l:;|l;`41=83;pD?l:;|l;`4>=83;pD?l:;|l;`4?=83;pD?l:;|l;`4g=83;pD?l:;|l;`4d=83;pD?l:;|l;`4e=83;pD?l:;|l;`4b=83;pD?l:;|l;`4c=83;pD?l:;|l;`4`=83;pD?l:;|l;`76=83;pD?l:;|l;`77=83;pD?l:;|l;`74=83;pD?l:;|l;`75=83;pD?l:;|l;`72=83;pD?l:;|l;`73=83;pD?l:;|l;`70=83;pD?l:;|l;`71=83;pD?l:;|l;`7>=83;pD?l:;|l;`7?=83;pD?l:;|l;`7g=83;pD?l:;|l;`7d=83;pD?l:;|l;`7e=83;pD?l:;|l;`7b=83;pD?l:;|l;`7c=83;pD?l:;|l;`7`=83;pD?l:;|l;`66=83;pD?l:;|l;`67=83;pD?l:;|l;`64=83;pD?l:;|l;`65=83;pD?l:;|l;`62=83;pD?l:;|l;`63=83;pD?l:;|l;`60=83;pD?l:;|l;`61=83;pD?l:;|l;`6>=83;pD?l:;|l;`6?=83;pD?l:;|l;`6g=83;pD?l:;|l;`6d=83;pD?l:;|l;`6e=83;pD?l:;|l;`6b=83;pD?l:;|l;`6c=83;pD?l:;|l;`6`=83;pD?l:;|l;`16=83;pD?l:;|l;`17=83;pD?l:;|l;`14=83;pD?l:;|l;`15=83;pD?l:;|l;`12=83;pD?l:;|l;`13=83;pD?l:;|l;`10=83;pD?l:;|l;`11=83;pD?l:;|l;`1>=83;pD?l:;|l;`1?=83;pD?l:;|l;`1g=83;pD?l:;|l;`1d=83;pD?l:;|l;`1e=83;pD?l:;|l;`1b=83;pD?l:;|l;`1c=83;pD?l:;|l;`1`=83;pD?l:;|l;`06=83;pD?l:;|l;`07=83;pD?l:;|l;`04=83;pD?l:;|l;`05=83;pD?l:;|l;`02=83;pD?l:;|l;`03=83;pD?l:;|l;`00=83;pD?l:;|l;`01=83;pD?l:;|l;`0>=83;pD?l:;|l;`0?=83;pD?l:;|l;`0g=83;pD?l:;|l;`0d=83;pD?l:;|l;`0e=83;pD?l:;|l;`0b=83;pD?l:;|l;`0c=83;pD?l:;|l;`0`=83;pD?l:;|l;`36=83;pD?l:;|l;`37=83;pD?l:;|l;`34=83;pD?l:;|l;`35=83;pD?l:;|l;`32=83;pD?l:;|l;`33=83;pD?l:;|l;`30=83;pD?l:;|l;`31=83;pD?l:;|l;`3>=83;pD?l:;|l;`3?=83;pD?l:;|l;`3g=83;pD?l:;|l;`3d=83;pD?l:;|l;`3e=83;pD?l:;|l;`3b=83;pD?l:;|l;`3c=83;pD?l:;|l;`3`=83;pD?l:;|l;`26=83;pD?l:;|l;`27=83;pD?l:;|l;`24=83;pD?l:;|l;`25=83;pD?l:;|l;`22=83;pD?l:;|l;`23=83;pD?l:;|l;`20=83;pD?l:;|l;`21=83;pD?l:;|l;`2>=83;pD?l:;|l;`2?=83;pD?l:;|l;`2g=83;pD?l:;|l;`2d=83;pD?l:;|l;`2e=83;pD?l:;|l;`2b=83;pD?l:;|l;`2c=83;pD?l:;|l;`2`=83;pD?l:;|l;`=6=83;pD?l:;|l;`=7=83;pD?l:;|l;`=4=83;pD?l:;|l;`=5=83;pD?l:;|l;`=2=83;pD?l:;|l;`=3=83;pD?l:;|l;`=0=83;pD?l:;|l;`=1=83;pD?l:;|l;`=>=83;pD?l:;|l;`=?=83;pD?l:;|l;`=g=83;pD?l:;|l;`=d=83;pD?l:;|l;`=e=83;pD?l:;|l;`=b=83;pD?l:;|l;`=c=83;pD?l:;|l;`=`=83;pD?l:;|l;`<6=83;pD?l:;|l;`<7=83;pD?l:;|l;`<4=83;pD?l:;|l;`<5=83;pD?l:;|l;`<2=83;pD?l:;|l;`<3=83;pD?l:;|l;`<0=83;pD?l:;|l;`<1=83;pD?l:;|l;`<>=83;pD?l:;|l;`=83;pD?l:;|l;`d?=83;pD?l:;|l;`dg=83;pD?l:;|l;`dd=83;pD?l:;|l;`de=83;pD?l:;|l;`db=83;pD?l:;|l;`dc=83;pD?l:;|l;`d`=83;pD?l:;|l;`g6=83;pD?l:;|l;`g7=83;pD?l:;|l;`g4=83;pD?l:;|l;`g5=83;pD?l:;|l;`g2=83;pD?l:;|l;`g3=83;pD?l:;|l;`g0=83;pD?l:;|l;`g1=83;pD?l:;|l;`g>=83;pD?l:;|l;`g?=83;pD?l:;|l;`gg=83;pD?l:;|l;`gd=83;pD?l:;|l;`ge=83;pD?l:;|l;`gb=83;pD?l:;|l;`gc=83;pD?l:;|l;`g`=83;pD?l:;|l;`f6=83;pD?l:;|l;`f7=83;pD?l:;|l;`f4=83;pD?l:;|l;`f5=83;pD?l:;|l;`f2=83;pD?l:;|l;`f3=83;pD?l:;|l;`f0=83;pD?l:;|l;`f1=83;pD?l:;|l;`f>=83;pD?l:;|l;`f?=83;pD?l:;|l;`fg=83;pD?l:;|l;`fd=83;pD?l:;|l;`fe=83;pD?l:;|l;`fb=83;pD?l:;|l;`fc=83;pD?l:;|l;`f`=83;pD?l:;|l;`a6=83;pD?l:;|l;`a7=83;pD?l:;|l;`a4=83;pD?l:;|l;`a5=83;pD?l:;|l;`a2=83;pD?l:;|l;`a3=83;pD?l:;|l;`a0=83;pD?l:;|l;`a1=83;pD?l:;|l;`a>=83;pD?l:;|l;`a?=83;pD?l:;|l;`ag=83;pD?l:;|l;`ad=83;pD?l:;|l;`ae=83;pD?l:;|l;`ab=83;pD?l:;|l;`ac=83;pD?l:;|l;`a`=83;pD?l:;|l;``6=83;pD?l:;|l;``7=83;pD?l:;|l;``4=83;pD?l:;|l;``5=83;pD?l:;|l;``2=83;pD?l:;|l;``3=83;pD?l:;|l;``0=83;pD?l:;|l;``1=83;pD?l:;|l;``>=83;pD?l:;|l;``?=83;pD?l:;|l;``g=83;pD?l:;|l;``d=83;pD?l:;|l;``e=83;pD?l:;|l;``b=83;pD?l:;|l;``c=83;pD?l:;|l;```=83;pD?l:;|l;`c6=83;pD?l:;|l;`c7=83;pD?l:;|l;`c4=83;pD?l:;|l;`c5=83;pD?l:;|l;`c2=83;pD?l:;|l;`c3=83;pD?l:;|l;`c0=83;pD?l:;|l;`c1=83;pD?l:;|l;`c>=83;pD?l:;|l;`c?=83;pD?l:;|l;`cg=83;pD?l:;|l;`cd=83;pD?l:;|l;`ce=83;pD?l:;|l;`cb=83;pD?l:;|l;`cc=83;pD?l:;|l;`c`=83;pD?l:;|l;a56=83;pD?l:;|l;a57=83;pD?l:;|l;a54=83;pD?l:;|l;a55=83;pD?l:;|l;a52=83;pD?l:;|l;a53=83;pD?l:;|l;a50=83;pD?l:;|l;a51=83;pD?l:;|l;a5>=83;pD?l:;|l;a5?=83;pD?l:;|l;a5g=83;pD?l:;|l;a5d=83;pD?l:;|l;a5e=83;pD?l:;|l;a5b=83;pD?l:;|l;a5c=83;pD?l:;|l;a5`=83;pD?l:;|l;a46=83;pD?l:;|l;a47=83;pD?l:;|l;a44=83;pD?l:;|l;a45=83;pD?l:;|l;a42=83;pD?l:;|l;a43=83;pD?l:;|l;a40=83;pD?l:;|l;a41=83;pD?l:;|l;a4>=83;pD?l:;|l;a4?=83;pD?l:;|l;a4g=83;pD?l:;|l;a4d=83;pD?l:;|l;a4e=83;pD?l:;|l;a4b=83;pD?l:;|l;a4c=83;pD?l:;|l;a4`=83;pD?l:;|l;a76=83;pD?l:;|l;a77=83;pD?l:;|l;a74=83;pD?l:;|l;a75=83;pD?l:;|l;a72=83;pD?l:;|l;a73=83;pD?l:;|l;a70=83;pD?l:;|l;a71=83;pD?l:;|l;a7>=83;pD?l:;|l;a7?=83;pD?l:;|l;a7g=83;pD?l:;|l;a7d=83;pD?l:;|l;a7e=83;pD?l:;|l;a7b=83;pD?l:;|l;a7c=83;pD?l:;|l;a7`=83;pD?l:;|l;a66=83;pD?l:;|l;a67=83;pD?l:;|l;a64=83;pD?l:;|l;a65=83;pD?l:;|l;a62=83;pD?l:;|l;a63=83;pD?l:;|l;a60=83;pD?l:;|l;a61=83;pD?l:;|l;a6>=83;pD?l:;|l;a6?=83;pD?l:;|l;a6g=83;pD?l:;|l;a6d=83;pD?l:;|l;a6e=83;pD?l:;|l;a6b=83;pD?l:;|l;a6c=83;pD?l:;|l;a6`=83;pD?l:;|l;a16=83;pD?l:;|l;a17=83;pD?l:;|l;a14=83;pD?l:;|l;a15=83;pD?l:;|l;a12=83;pD?l:;|l;a13=83;pD?l:;|l;a10=83;pD?l:;|l;a11=83;pD?l:;|l;a1>=83;pD?l:;|l;a1?=83;pD?l:;|l;a1g=83;pD?l:;|l;a1d=83;pD?l:;|l;a1e=83;pD?l:;|l;a1b=83;pD?l:;|l;a1c=83;pD?l:;|l;a1`=83;pD?l:;|l;a06=83;pD?l:;|l;a07=83;pD?l:;|l;a04=83;pD?l:;|l;a05=83;pD?l:;|l;a02=83;pD?l:;|l;a03=83;pD?l:;|l;a00=83;pD?l:;|l;a01=83;pD?l:;|l;a0>=83;pD?l:;|l;a0?=83;pD?l:;|l;a0g=83;pD?l:;|l;a0d=83;pD?l:;|l;a0e=83;pD?l:;|l;a0b=83;pD?l:;|l;a0c=83;pD?l:;|l;a0`=83;pD?l:;|l;a36=83;pD?l:;|l;a37=83;pD?l:;|l;a34=83;pD?l:;|l;a35=83;pD?l:;|l;a32=83;pD?l:;|l;a33=83;pD?l:;|l;a30=83;pD?l:;|l;a31=83;pD?l:;|l;a3>=83;pD?l:;|l;a3?=83;pD?l:;|l;a3g=83;pD?l:;|l;a3d=83;pD?l:;|l;a3e=83;pD?l:;|l;a3b=83;pD?l:;|l;a3c=83;pD?l:;|l;a3`=83;pD?l:;|l;a26=83;pD?l:;|l;a27=83;pD?l:;|l;a24=83;pD?l:;|l;a25=83;pD?l:;|l;a22=83;pD?l:;|l;a23=83;pD?l:;|l;a20=83;pD?l:;|l;a21=83;pD?l:;|l;a2>=83;pD?l:;|l;a2?=83;pD?l:;|l;a2g=83;pD?l:;|l;a2d=83;pD?l:;|l;a2e=83;pD?l:;|l;a2b=83;pD?l:;|l;a2c=83;pD?l:;|l;a2`=83;pD?l:;|l;a=6=83;pD?l:;|l;a=7=83;pD?l:;|l;a=4=83;pD?l:;|l;a=5=83;pD?l:;|l;a=2=83;pD?l:;|l;a=3=83;pD?l:;|l;a=0=83;pD?l:;|l;a=1=83;pD?l:;|l;a=>=83;pD?l:;|l;a=?=83;pD?l:;|l;a=g=83;pD?l:;|l;a=d=83;pD?l:;|l;a=e=83;pD?l:;|l;a=b=83;pD?l:;|l;a=c=83;pD?l:;|l;a=`=83;pD?l:;|l;a<6=83;pD?l:;|l;a<7=83;pD?l:;|l;a<4=83;pD?l:;|l;a<5=83;pD?l:;|l;a<2=83;pD?l:;|l;a<3=83;pD?l:;|l;a<0=83;pD?l:;|l;a<1=83;pD?l:;|l;a<>=83;pD?l:;|l;a=83;pD?l:;|l;ad?=83;pD?l:;|l;adg=83;pD?l:;|l;add=83;pD?l:;|l;ade=83;pD?l:;|l;adb=83;pD?l:;|l;adc=83;pD?l:;|l;ad`=83;pD?l:;|l;ag6=83;pD?l:;|l;ag7=83;pD?l:;|l;ag4=83;pD?l:;|l;ag5=83;pD?l:;|l;ag2=83;pD?l:;|l;ag3=83;pD?l:;|l;ag0=83;pD?l:;|l;ag1=83;pD?l:;|l;ag>=83;pD?l:;|l;ag?=83;pD?l:;|l;agg=83;pD?l:;|l;agd=83;pD?l:;|l;age=83;pD?l:;|l;agb=83;pD?l:;|l;agc=83;pD?l:;|l;ag`=83;pD?l:;|l;af6=83;pD?l:;|l;af7=83;pD?l:;|l;af4=83;pD?l:;|l;af5=83;pD?l:;|l;af2=83;pD?l:;|l;af3=83;pD?l:;|l;af0=83;pD?l:;|l;af1=83;pD?l:;|l;af>=83;pD?l:;|l;af?=83;pD?l:;|l;afg=83;pD?l:;|l;afd=83;pD?l:;|l;afe=83;pD?l:;|l;afb=83;pD?l:;|l;afc=83;pD?l:;|l;af`=83;pD?l:;|l;aa6=83;pD?l:;|l;aa7=83;pD?l:;|l;aa4=83;pD?l:;|l;aa5=83;pD?l:;|l;aa2=83;pD?l:;|l;aa3=83;pD?l:;|l;aa0=83;pD?l:;|l;aa1=83;pD?l:;|l;aa>=83;pD?l:;|l;aa?=83;pD?l:;|l;aag=83;pD?l:;|l;aad=83;pD?l:;|l;aae=83;pD?l:;|l;aab=83;pD?l:;|l;aac=83;pD?l:;|l;aa`=83;pD?l:;|l;a`6=83;pD?l:;|l;a`7=83;pD?l:;|l;a`4=83;pD?l:;|l;a`5=83;pD?l:;|l;a`2=83;pD?l:;|l;a`3=83;pD?l:;|l;a`0=83;pD?l:;|l;a`1=83;pD?l:;|l;a`>=83;pD?l:;|l;a`?=83;pD?l:;|l;a`g=83;pD?l:;|l;a`d=83;pD?l:;|l;a`e=83;pD?l:;|l;a`b=83;pD?l:;|l;a`c=83;pD?l:;|l;a``=83;pD?l:;|l;ac6=83;pD?l:;|l;ac7=83;pD?l:;|l;ac4=83;pD?l:;|l;ac5=83;pD?l:;|l;ac2=83;pD?l:;|l;ac3=83;pD?l:;|l;ac0=83;pD?l:;|l;ac1=83;pD?l:;|l;ac>=83;pD?l:;|l;ac?=83;pD?l:;|l;acg=83;pD?l:;|l;acd=83;pD?l:;|l;ace=83;pD?l:;|l;acb=83;pD?l:;|l;acc=83;pD?l:;|l;ac`=83;pD?l:;|l;b56=83;pD?l:;|l;b57=83;pD?l:;|l;b54=83;pD?l:;|l;b55=83;pD?l:;|l;b52=83;pD?l:;|l;b53=83;pD?l:;|l;b50=83;pD?l:;|l;b51=83;pD?l:;|l;b5>=83;pD?l:;|l;b5?=83;pD?l:;|l;b5g=83;pD?l:;|l;b5d=83;pD?l:;|l;b5e=83;pD?l:;|l;b5b=83;pD?l:;|l;b5c=83;pD?l:;|l;b5`=83;pD?l:;|l;b46=83;pD?l:;|l;b47=83;pD?l:;|l;b44=83;pD?l:;|l;b45=83;pD?l:;|l;b42=83;pD?l:;|l;b43=83;pD?l:;|l;b40=83;pD?l:;|l;b41=83;pD?l:;|l;b4>=83;pD?l:;|l;b4?=83;pD?l:;|l;b4g=83;pD?l:;|l;b4d=83;pD?l:;|l;b4e=83;pD?l:;|l;b4b=83;pD?l:;|l;b4c=83;pD?l:;|l;b4`=83;pD?l:;|l;b76=83;pD?l:;|l;b77=83;pD?l:;|l;b74=83;pD?l:;|l;b75=83;pD?l:;|l;b72=83;pD?l:;|l;b73=83;pD?l:;|l;b70=83;pD?l:;|l;b71=83;pD?l:;|l;b7>=83;pD?l:;|l;b7?=83;pD?l:;|l;b7g=83;pD?l:;|l;b7d=83;pD?l:;|l;b7e=83;pD?l:;|l;b7b=83;pD?l:;|l;b7c=83;pD?l:;|l;b7`=83;pD?l:;|l;b66=83;pD?l:;|l;b67=83;pD?l:;|l;b64=83;pD?l:;|l;b65=83;pD?l:;|l;b62=83;pD?l:;|l;b63=83;pD?l:;|l;b60=83;pD?l:;|l;b61=83;pD?l:;|l;b6>=83;pD?l:;|l;b6?=83;pD?l:;|l;b6g=83;pD?l:;|l;b6d=83;pD?l:;|l;b6e=83;pD?l:;|l;b6b=83;pD?l:;|l;b6c=83;pD?l:;|l;b6`=83;pD?l:;|l;b16=83;pD?l:;|l;b17=83;pD?l:;|l;b14=83;pD?l:;|l;b15=83;pD?l:;|l;b12=83;pD?l:;|l;b13=83;pD?l:;|l;b10=83;pD?l:;|l;b11=83;pD?l:;|l;b1>=83;pD?l:;|l;b1?=83;pD?l:;|l;b1g=83;pD?l:;|l;b1d=83;pD?l:;|l;b1e=83;pD?l:;|l;b1b=83;pD?l:;|l;b1c=83;pD?l:;|l;b1`=83;pD?l:;|l;b06=83;pD?l:;|l;b07=83;pD?l:;|l;b04=83;pD?l:;|l;b05=83;pD?l:;|l;b02=83;pD?l:;|l;b03=83;pD?l:;|l;b00=83;pD?l:;|l;b01=83;pD?l:;|l;b0>=83;pD?l:;|l;b0?=83;pD?l:;|l;b0g=83;pD?l:;|l;b0d=83;pD?l:;|l;b0e=83;pD?l:;|l;b0b=83;pD?l:;|l;b0c=83;pD?l:;|l;b0`=83;pD?l:;|l;b36=83;pD?l:;|l;b37=83;pD?l:;|l;b34=83;pD?l:;|l;b35=83;pD?l:;|l;b32=83;pD?l:;|l;b33=83;pD?l:;|l;b30=83;pD?l:;|l;b31=83;pD?l:;|l;b3>=83;pD?l:;|l;b3?=83;pD?l:;|l;b3g=83;pD?l:;|l;b3d=83;pD?l:;|l;b3e=83;pD?l:;|l;b3b=83;pD?l:;|l;b3c=83;pD?l:;|l;b3`=83;pD?l:;|l;b26=83;pD?l:;|l;b27=83;pD?l:;|l;b24=83;pD?l:;|l;b25=83;pD?l:;|l;b22=83;pD?l:;|l;b23=83;pD?l:;|l;b20=83;pD?l:;|l;b21=83;pD?l:;|l;b2>=83;pD?l:;|l;b2?=83;pD?l:;|l;b2g=83;pD?l:;|l;b2d=83;pD?l:;|l;b2e=83;pD?l:;|l;b2b=83;pD?l:;|l;b2c=83;pD?l:;|l;b2`=83;pD?l:;|l;b=6=83;pD?l:;|l;b=7=83;pD?l:;|l;b=4=83;pD?l:;|l;b=5=83;pD?l:;|l;b=2=83;pD?l:;|l;b=3=83;pD?l:;|l;b=0=83;pD?l:;|l;b=1=83;pD?l:;|l;b=>=83;pD?l:;|l;b=?=83;pD?l:;|l;b=g=83;pD?l:;|l;b=d=83;pD?l:;|l;b=e=83;pD?l:;|l;b=b=83;pD?l:;|l;b=c=83;pD?l:;|l;b=`=83;pD?l:;|l;b<6=83;pD?l:;|l;b<7=83;pD?l:;|l;b<4=83;pD?l:;|l;b<5=83;pD?l:;|l;b<2=83;pD?l:;|l;b<3=83;pD?l:;|l;b<0=83;pD?l:;|l;b<1=83;pD?l:;|l;b<>=83;pD?l:;|l;b=83;pD?l:;|l;bd?=83;pD?l:;|l;bdg=83;pD?l:;|l;bdd=83;pD?l:;|l;bde=83;pD?l:;|l;bdb=83;pD?l:;|l;bdc=83;pD?l:;|l;bd`=83;pD?l:;|l;bg6=83;pD?l:;|l;bg7=83;pD?l:;|l;bg4=83;pD?l:;|l;bg5=83;pD?l:;|l;bg2=83;pD?l:;|l;bg3=83;pD?l:;|l;bg0=83;pD?l:;|l;bg1=83;pD?l:;|l;bg>=83;pD?l:;|l;bg?=83;pD?l:;|l;bgg=83;pD?l:;|l;bgd=83;pD?l:;|l;bge=83;pD?l:;|l;bgb=83;pD?l:;|l;bgc=83;pD?l:;|l;bg`=83;pD?l:;|l;bf6=83;pD?l:;|l;bf7=83;pD?l:;|l;bf4=83;pD?l:;|l;bf5=83;pD?l:;|l;bf2=83;pD?l:;|l;bf3=83;pD?l:;|l;bf0=83;pD?l:;|l;bf1=83;pD?l:;|l;bf>=83;pD?l:;|l;bf?=83;pD?l:;|l;bfg=83;pD?l:;|l;bfd=83;pD?l:;|l;bfe=83;pD?l:;|l;bfb=83;pD?l:;|l;bfc=83;pD?l:;|l;bf`=83;pD?l:;|l;ba6=83;pD?l:;|l;ba7=83;pD?l:;|l;ba4=83;pD?l:;|l;ba5=83;pD?l:;|l;ba2=83;pD?l:;|l;ba3=83;pD?l:;|l;ba0=83;pD?l:;|l;ba1=83;pD?l:;|l;ba>=83;pD?l:;|l;ba?=83;pD?l:;|l;bag=83;pD?l:;|l;bad=83;pD?l:;|l;bae=83;pD?l:;|l;bab=83;pD?l:;|l;bac=83;pD?l:;|l;ba`=83;pD?l:;|l;b`6=83;pD?l:;|l;b`7=83;pD?l:;|l;b`4=83;pD?l:;|l;b`5=83;pD?l:;|l;b`2=83;pD?l:;|l;b`3=83;pD?l:;|l;b`0=83;pD?l:;|l;b`1=83;pD?l:;|l;b`>=83;pD?l:;|l;b`?=83;pD?l:;|l;b`g=83;pD?l:;|l;b`d=83;pD?l:;|l;b`e=83;pD?l:;|l;b`b=83;pD?l:;|l;b`c=83;pD?l:;|l;b``=83;pD?l:;|l;bc6=83;pD?l:;|l;bc7=83;pD?l:;|l;bc4=83;pD?l:;|l;bc5=83;pD?l:;|l;bc2=83;pD?l:;|l;bc3=83;pD?l:;|l;bc0=83;pD?l:;|l;bc1=83;pD?l:;|l;bc>=83;pD?l:;|l;bc?=83;pD?l:;|l;bcg=83;pD?l:;|l;bcd=83;pD?l:;|l;bce=83;pD?l:;|l;bcb=83;pD?l:;|l;bcc=83;pD?l:;|l;bc`=83;pD?l:;|l:456=83;pD?l:;|l:457=83;pD?l:;|l:454=83;pD?l:;|l:455=83;pD?l:;|l:452=83;pD?l:;|l:453=83;pD?l:;|l:450=83;pD?l:;|l:451=83;pD?l:;|l:45>=83;pD?l:;|l:45?=83;pD?l:;|l:45g=83;pD?l:;|l:45d=83;pD?l:;|l:45e=83;pD?l:;|l:45b=83;pD?l:;|l:45c=83;pD?l:;|l:45`=83;pD?l:;|l:446=83;pD?l:;|l:447=83;pD?l:;|l:444=83;pD?l:;|l:445=83;pD?l:;|l:442=83;pD?l:;|l:443=83;pD?l:;|l:440=83;pD?l:;|l:441=83;pD?l:;|l:44>=83;pD?l:;|l:44?=83;pD?l:;|l:44g=83;pD?l:;|l:44d=83;pD?l:;|l:44e=83;pD?l:;|l:44b=83;pD?l:;|l:44c=83;pD?l:;|l:44`=83;pD?l:;|l:476=83;pD?l:;|l:477=83;pD?l:;|l:474=83;pD?l:;|l:475=83;pD?l:;|l:472=83;pD?l:;|l:473=83;pD?l:;|l:470=83;pD?l:;|l:471=83;pD?l:;|l:47>=83;pD?l:;|l:47?=83;pD?l:;|l:47g=83;pD?l:;|l:47d=83;pD?l:;|l:47e=83;pD?l:;|l:47b=83;pD?l:;|l:47c=83;pD?l:;|l:47`=83;pD?l:;|l:466=83;pD?l:;|l:467=83;pD?l:;|l:464=83;pD?l:;|l:465=83;pD?l:;|l:462=83;pD?l:;|l:463=83;pD?l:;|l:460=83;pD?l:;|l:461=83;pD?l:;|l:46>=83;pD?l:;|l:46?=83;pD?l:;|l:46g=83;pD?l:;|l:46d=83;pD?l:;|l:46e=83;pD?l:;|l:46b=83;pD?l:;|l:46c=83;pD?l:;|l:46`=83;pD?l:;|l:416=83;pD?l:;|l:417=83;pD?l:;|l:414=83;pD?l:;|l:415=83;pD?l:;|l:412=83;pD?l:;|l:413=83;pD?l:;|l:410=83;pD?l:;|l:411=83;pD?l:;|l:41>=83;pD?l:;|l:41?=83;pD?l:;|l:41g=83;pD?l:;|l:41d=83;pD?l:;|l:41e=83;pD?l:;|l:41b=83;pD?l:;|l:41c=83;pD?l:;|l:41`=83;pD?l:;|l:406=83;pD?l:;|l:407=83;pD?l:;|l:404=83;pD?l:;|l:405=83;pD?l:;|l:402=83;pD?l:;|l:403=83;pD?l:;|l:400=83;pD?l:;|l:401=83;pD?l:;|l:40>=83;pD?l:;|l:40?=83;pD?l:;|l:40g=83;pD?l:;|l:40d=83;pD?l:;|l:40e=83;pD?l:;|l:40b=83;pD?l:;|l:40c=83;pD?l:;|l:40`=83;pD?l:;|l:436=83;pD?l:;|l:437=83;pD?l:;|l:434=83;pD?l:;|l:435=83;pD?l:;|l:432=83;pD?l:;|l:433=83;pD?l:;|l:430=83;pD?l:;|l:431=83;pD?l:;|l:43>=83;pD?l:;|l:43?=83;pD?l:;|l:43g=83;pD?l:;|l:43d=83;pD?l:;|l:43e=83;pD?l:;|l:43b=83;pD?l:;|l:43c=83;pD?l:;|l:43`=83;pD?l:;|l:426=83;pD?l:;|l:427=83;pD?l:;|l:424=83;pD?l:;|l:425=83;pD?l:;|l:422=83;pD?l:;|l:423=83;pD?l:;|l:420=83;pD?l:;|l:421=83;pD?l:;|l:42>=83;pD?l:;|l:42?=83;pD?l:;|l:42g=83;pD?l:;|l:42d=83;pD?l:;|l:42e=83;pD?l:;|l:42b=83;pD?l:;|l:42c=83;pD?l:;|l:42`=83;pD?l:;|l:4=6=83;pD?l:;|l:4=7=83;pD?l:;|l:4=4=83;pD?l:;|l:4=5=83;pD?l:;|l:4=2=83;pD?l:;|l:4=3=83;pD?l:;|l:4=0=83;pD?l:;|l:4=1=83;pD?l:;|l:4=>=83;pD?l:;|l:4=?=83;pD?l:;|l:4=g=83;pD?l:;|l:4=d=83;pD?l:;|l:4=e=83;pD?l:;|l:4=b=83;pD?l:;|l:4=c=83;pD?l:;|l:4=`=83;pD?l:;|l:4<6=83;pD?l:;|l:4<7=83;pD?l:;|l:4<4=83;pD?l:;|l:4<5=83;pD?l:;|l:4<2=83;pD?l:;|l:4<3=83;pD?l:;|l:4<0=83;pD?l:;|l:4<1=83;pD?l:;|l:4<>=83;pD?l:;|l:4=83;pD?l:;|l:4d?=83;pD?l:;|l:4dg=83;pD?l:;|l:4dd=83;pD?l:;|l:4de=83;pD?l:;|l:4db=83;pD?l:;|l:4dc=83;pD?l:;|l:4d`=83;pD?l:;|l:4g6=83;pD?l:;|l:4g7=83;pD?l:;|l:4g4=83;pD?l:;|l:4g5=83;pD?l:;|l:4g2=83;pD?l:;|l:4g3=83;pD?l:;|l:4g0=83;pD?l:;|l:4g1=83;pD?l:;|l:4g>=83;pD?l:;|l:4g?=83;pD?l:;|l:4gg=83;pD?l:;|l:4gd=83;pD?l:;|l:4ge=83;pD?l:;|l:4gb=83;pD?l:;|l:4gc=83;pD?l:;|l:4g`=83;pD?l:;|l:4f6=83;pD?l:;|l:4f7=83;pD?l:;|l:4f4=83;pD?l:;|l:4f5=83;pD?l:;|l:4f2=83;pD?l:;|l:4f3=83;pD?l:;|l:4f0=83;pD?l:;|l:4f1=83;pD?l:;|l:4f>=83;pD?l:;|l:4f?=83;pD?l:;|l:4fg=83;pD?l:;|l:4fd=83;pD?l:;|l:4fe=83;pD?l:;|l:4fb=83;pD?l:;|l:4fc=83;pD?l:;|l:4f`=83;pD?l:;|l:4a6=83;pD?l:;|l:4a7=83;pD?l:;|l:4a4=83;pD?l:;|l:4a5=83;pD?l:;|l:4a2=83;pD?l:;|l:4a3=83;pD?l:;|l:4a0=83;pD?l:;|l:4a1=83;pD?l:;|l:4a>=83;pD?l:;|l:4a?=83;pD?l:;|l:4ag=83;pD?l:;|l:4ad=83;pD?l:;|l:4ae=83;pD?l:;|l:4ab=83;pD?l:;|l:4ac=83;pD?l:;|l:4a`=83;pD?l:;|l:4`6=83;pD?l:;|l:4`7=83;pD?l:;|l:4`4=83;pD?l:;|l:4`5=83;pD?l:;|l:4`2=83;pD?l:;|l:4`3=83;pD?l:;|l:4`0=83;pD?l:;|l:4`1=83;pD?l:;|l:4`>=83;pD?l:;|l:4`?=83;pD?l:;|l:4`g=83;pD?l:;|l:4`d=83;pD?l:;|l:4`e=83;pD?l:;|l:4`b=83;pD?l:;|l:4`c=83;pD?l:;|l:4``=83;pD?l:;|l:4c6=83;pD?l:;|l:4c7=83;pD?l:;|l:4c4=83;pD?l:;|l:4c5=83;pD?l:;|l:4c2=83;pD?l:;|l:4c3=83;pD?l:;|l:4c0=83;pD?l:;|l:4c1=83;pD?l:;|l:4c>=83;pD?l:;|l:4c?=83;pD?l:;|l:4cg=83;pD?l:;|l:4cd=83;pD?l:;|l:4ce=83;pD?l:;|l:4cb=83;pD?l:;|l:4cc=83;pD?l:;|l:4c`=83;pD?l:;|l:556=83;pD?l:;|l:557=83;pD?l:;|l:554=83;pD?l:;|l:555=83;pD?l:;|l:552=83;pD?l:;|l:553=83;pD?l:;|l:550=83;pD?l:;|l:551=83;pD?l:;|l:55>=83;pD?l:;|l:55?=83;pD?l:;|l:55g=83;pD?l:;|l:55d=83;pD?l:;|l:55e=83;pD?l:;|l:55b=83;pD?l:;|l:55c=83;pD?l:;|l:55`=83;pD?l:;|l:546=83;pD?l:;|l:547=83;pD?l:;|l:544=83;pD?l:;|l:545=83;pD?l:;|l:542=83;pD?l:;|l:543=83;pD?l:;|l:540=83;pD?l:;|l:541=83;pD?l:;|l:54>=83;pD?l:;|l:54?=83;pD?l:;|l:54g=83;pD?l:;|l:54d=83;pD?l:;|l:54e=83;pD?l:;|l:54b=83;pD?l:;|l:54c=83;pD?l:;|l:54`=83;pD?l:;|l:576=83;pD?l:;|l:577=83;pD?l:;|l:574=83;pD?l:;|l:575=83;pD?l:;|l:572=83;pD?l:;|l:573=83;pD?l:;|l:570=83;pD?l:;|l:571=83;pD?l:;|l:57>=83;pD?l:;|l:57?=83;pD?l:;|l:57g=83;pD?l:;|l:57d=83;pD?l:;|l:57e=83;pD?l:;|l:57b=83;pD?l:;|l:57c=83;pD?l:;|l:57`=83;pD?l:;|l:566=83;pD?l:;|l:567=83;pD?l:;|l:564=83;pD?l:;|l:565=83;pD?l:;|l:562=83;pD?l:;|l:563=83;pD?l:;|l:560=83;pD?l:;|l:561=83;pD?l:;|l:56>=83;pD?l:;|l:56?=83;pD?l:;|l:56g=83;pD?l:;|l:56d=83;pD?l:;|l:56e=83;pD?l:;|l:56b=83;pD?l:;|l:56c=83;pD?l:;|l:56`=83;pD?l:;|l:516=83;pD?l:;|l:517=83;pD?l:;|l:514=83;pD?l:;|l:515=83;pD?l:;|l:512=83;pD?l:;|l:513=83;pD?l:;|l:510=83;pD?l:;|l:511=83;pD?l:;|l:51>=83;pD?l:;|l:51?=83;pD?l:;|l:51g=83;pD?l:;|l:51d=83;pD?l:;|l:51e=83;pD?l:;|l:51b=83;pD?l:;|l:51c=83;pD?l:;|l:51`=83;pD?l:;|l:506=83;pD?l:;|l:507=83;pD?l:;|l:504=83;pD?l:;|l:505=83;pD?l:;|l:502=83;pD?l:;|l:503=83;pD?l:;|l:500=83;pD?l:;|l:501=83;pD?l:;|l:50>=83;pD?l:;|l:50?=83;pD?l:;|l:50g=83;pD?l:;|l:50d=83;pD?l:;|l:50e=83;pD?l:;|l:50b=83;pD?l:;|l:50c=83;pD?l:;|l:50`=83;pD?l:;|l:536=83;pD?l:;|l:537=83;pD?l:;|l:534=83;pD?l:;|l:535=83;pD?l:;|l:532=83;pD?l:;|l:533=83;pD?l:;|l:530=83;pD?l:;|l:531=83;pD?l:;|l:53>=83;pD?l:;|l:53?=83;pD?l:;|l:53g=83;pD?l:;|l:53d=83;pD?l:;|l:53e=83;pD?l:;|l:53b=83;pD?l:;|l:53c=83;pD?l:;|l:53`=83;pD?l:;|l:526=83;pD?l:;|l:527=83;pD?l:;|l:524=83;pD?l:;|l:525=83;pD?l:;|l:522=83;pD?l:;|l:523=83;pD?l:;|l:520=83;pD?l:;|l:521=83;pD?l:;|l:52>=83;pD?l:;|l:52?=83;pD?l:;|l:52g=83;pD?l:;|l:52d=83;pD?l:;|l:52e=83;pD?l:;|l:52b=83;pD?l:;|l:52c=83;pD?l:;|l:52`=83;pD?l:;|l:5=6=83;pD?l:;|l:5=7=83;pD?l:;|l:5=4=83;pD?l:;|l:5=5=83;pD?l:;|l:5=2=83;pD?l:;|l:5=3=83;pD?l:;|l:5=0=83;pD?l:;|l:5=1=83;pD?l:;|l:5=>=83;pD?l:;|l:5=?=83;pD?l:;|l:5=g=83;pD?l:;|l:5=d=83;pD?l:;|l:5=e=83;pD?l:;|l:5=b=83;pD?l:;|l:5=c=83;pD?l:;|l:5=`=83;pD?l:;|l:5<6=83;pD?l:;|l:5<7=83;pD?l:;|l:5<4=83;pD?l:;|l:5<5=83;pD?l:;|l:5<2=83;pD?l:;|l:5<3=83;pD?l:;|l:5<0=83;pD?l:;|l:5<1=83;pD?l:;|l:5<>=83;pD?l:;|l:5=83;pD?l:;|l:5d?=83;pD?l:;|l:5dg=83;pD?l:;|l:5dd=83;pD?l:;|l:5de=83;pD?l:;|l:5db=83;pD?l:;|l:5dc=83;pD?l:;|l:5d`=83;pD?l:;|l:5g6=83;pD?l:;|l:5g7=83;pD?l:;|l:5g4=83;pD?l:;|l:5g5=83;pD?l:;|l:5g2=83;pD?l:;|l:5g3=83;pD?l:;|l:5g0=83;pD?l:;|l:5g1=83;pD?l:;|l:5g>=83;pD?l:;|l:5g?=83;pD?l:;|l:5gg=83;pD?l:;|l:5gd=83;pD?l:;|l:5ge=83;pD?l:;|l:5gb=83;pD?l:;|l:5gc=83;pD?l:;|l:5g`=83;pD?l:;|l:5f6=83;pD?l:;|l:5f7=83;pD?l:;|l:5f4=83;pD?l:;|l:5f5=83;pD?l:;|l:5f2=83;pD?l:;|l:5f3=83;pD?l:;|l:5f0=83;pD?l:;|l:5f1=83;pD?l:;|l:5f>=83;pD?l:;|l:5f?=83;pD?l:;|l:5fg=83;pD?l:;|l:5fd=83;pD?l:;|l:5fe=83;pD?l:;|l:5fb=83;pD?l:;|l:5fc=83;pD?l:;|l:5f`=83;pD?l:;|l:5a6=83;pD?l:;|l:5a7=83;pD?l:;|l:5a4=83;pD?l:;|l:5a5=83;pD?l:;|l:5a2=83;pD?l:;|l:5a3=83;pD?l:;|l:5a0=83;pD?l:;|l:5a1=83;pD?l:;|l:5a>=83;pD?l:;|l:5a?=83;pD?l:;|l:5ag=83;pD?l:;|l:5ad=83;pD?l:;|l:5ae=83;pD?l:;|l:5ab=83;pD?l:;|l:5ac=83;pD?l:;|l:5a`=83;pD?l:;|l:5`6=83;pD?l:;|l:5`7=83;pD?l:;|l:5`4=83;pD?l:;|l:5`5=83;pD?l:;|l:5`2=83;pD?l:;|l:5`3=83;pD?l:;|l:5`0=83;pD?l:;|l:5`1=83;pD?l:;|l:5`>=83;pD?l:;|l:5`?=83;pD?l:;|l:5`g=83;pD?l:;|l:5`d=83;pD?l:;|l:5`e=83;pD?l:;|l:5`b=83;pD?l:;|l:5`c=83;pD?l:;|l:5``=83;pD?l:;|l:5c6=83;pD?l:;|l:5c7=83;pD?l:;|l:5c4=83;pD?l:;|l:5c5=83;pD?l:;|l:5c2=83;pD?l:;|l:5c3=83;pD?l:;|l:5c0=83;pD?l:;|l:5c1=83;pD?l:;|l:5c>=83;pD?l:;|l:5c?=83;pD?l:;|l:5cg=83;pD?l:;|l:5cd=83;pD?l:;|l:5ce=83;pD?l:;|l:5cb=83;pD?l:;|l:5cc=83;pD?l:;|l:5c`=83;pD?l:;|l:656=83;pD?l:;|l:657=83;pD?l:;|l:654=83;pD?l:;|l:655=83;pD?l:;|l:652=83;pD?l:;|l:653=83;pD?l:;|l:650=83;pD?l:;|l:651=83;pD?l:;|l:65>=83;pD?l:;|l:65?=83;pD?l:;|l:65g=83;pD?l:;|l:65d=83;pD?l:;|l:65e=83;pD?l:;|l:65b=83;pD?l:;|l:65c=83;pD?l:;|l:65`=83;pD?l:;|l:646=83;pD?l:;|l:647=83;pD?l:;|l:644=83;pD?l:;|l:645=83;pD?l:;|l:642=83;pD?l:;|l:643=83;pD?l:;|l:640=83;pD?l:;|l:641=83;pD?l:;|l:64>=83;pD?l:;|l:64?=83;pD?l:;|l:64g=83;pD?l:;|l:64d=83;pD?l:;|l:64e=83;pD?l:;|l:64b=83;pD?l:;|l:64c=83;pD?l:;|l:64`=83;pD?l:;|l:676=83;pD?l:;|l:677=83;pD?l:;|l:674=83;pD?l:;|l:675=83;pD?l:;|l:672=83;pD?l:;|l:673=83;pD?l:;|l:670=83;pD?l:;|l:671=83;pD?l:;|l:67>=83;pD?l:;|l:67?=83;pD?l:;|l:67g=83;pD?l:;|l:67d=83;pD?l:;|l:67e=83;pD?l:;|l:67b=83;pD?l:;|l:67c=83;pD?l:;|l:67`=83;pD?l:;|l:666=83;pD?l:;|l:667=83;pD?l:;|l:664=83;pD?l:;|l:665=83;pD?l:;|l:662=83;pD?l:;|l:663=83;pD?l:;|l:660=83;pD?l:;|l:661=83;pD?l:;|l:66>=83;pD?l:;|l:66?=83;pD?l:;|l:66g=83;pD?l:;|l:66d=83;pD?l:;|l:66e=83;pD?l:;|l:66b=83;pD?l:;|l:66c=83;pD?l:;|l:66`=83;pD?l:;|l:616=83;pD?l:;|l:617=83;pD?l:;|l:614=83;pD?l:;|l:615=83;pD?l:;|l:612=83;pD?l:;|l:613=83;pD?l:;|l:610=83;pD?l:;|l:611=83;pD?l:;|l:61>=83;pD?l:;|l:61?=83;pD?l:;|l:61g=83;pD?l:;|l:61d=83;pD?l:;|l:61e=83;pD?l:;|l:61b=83;pD?l:;|l:61c=83;pD?l:;|l:61`=83;pD?l:;|l:606=83;pD?l:;|l:607=83;pD?l:;|l:604=83;pD?l:;|l:605=83;pD?l:;|l:602=83;pD?l:;|l:603=83;pD?l:;|l:600=83;pD?l:;|l:601=83;pD?l:;|l:60>=83;pD?l:;|l:60?=83;pD?l:;|l:60g=83;pD?l:;|l:60d=83;pD?l:;|l:60e=83;pD?l:;|l:60b=83;pD?l:;|l:60c=83;pD?l:;|l:60`=83;pD?l:;|l:636=83;pD?l:;|l:637=83;pD?l:;|l:634=83;pD?l:;|l:635=83;pD?l:;|l:632=83;pD?l:;|l:633=83;pD?l:;|l:630=83;pD?l:;|l:631=83;pD?l:;|l:63>=83;pD?l:;|l:63?=83;pD?l:;|l:63g=83;pD?l:;|l:63d=83;pD?l:;|l:63e=83;pD?l:;|l:63b=83;pD?l:;|l:63c=83;pD?l:;|l:63`=83;pD?l:;|l:626=83;pD?l:;|l:627=83;pD?l:;|l:624=83;pD?l:;|l:625=83;pD?l:;|l:622=83;pD?l:;|l:623=83;pD?l:;|l:620=83;pD?l:;|l:621=83;pD?l:;|l:62>=83;pD?l:;|l:62?=83;pD?l:;|l:62g=83;pD?l:;|l:62d=83;pD?l:;|l:62e=83;pD?l:;|l:62b=83;pD?l:;|l:62c=83;pD?l:;|l:62`=83;pD?l:;|l:6=6=83;pD?l:;|l:6=7=83;pD?l:;|l:6=4=83;pD?l:;|l:6=5=83;pD?l:;|l:6=2=83;pD?l:;|l:6=3=83;pD?l:;|l:6=0=83;pD?l:;|l:6=1=83;pD?l:;|l:6=>=83;pD?l:;|l:6=?=83;pD?l:;|l:6=g=83;pD?l:;|l:6=d=83;pD?l:;|l:6=e=83;pD?l:;|l:6=b=83;pD?l:;|l:6=c=83;pD?l:;|l:6=`=83;pD?l:;|l:6<6=83;pD?l:;|l:6<7=83;pD?l:;|l:6<4=83;pD?l:;|l:6<5=83;pD?l:;|l:6<2=83;pD?l:;|l:6<3=83;pD?l:;|l:6<0=83;pD?l:;|l:6<1=83;pD?l:;|l:6<>=83;pD?l:;|l:6=83;pD?l:;|l:6d?=83;pD?l:;|l:6dg=83;pD?l:;|l:6dd=83;pD?l:;|l:6de=83;pD?l:;|l:6db=83;pD?l:;|l:6dc=83;pD?l:;|l:6d`=83;pD?l:;|l:6g6=83;pD?l:;|l:6g7=83;pD?l:;|l:6g4=83;pD?l:;|l:6g5=83;pD?l:;|l:6g2=83;pD?l:;|l:6g3=83;pD?l:;|l:6g0=83;pD?l:;|l:6g1=83;pD?l:;|l:6g>=83;pD?l:;|l:6g?=83;pD?l:;|l:6gg=83;pD?l:;|l:6gd=83;pD?l:;|l:6ge=83;pD?l:;|l:6gb=83;pD?l:;|l:6gc=83;pD?l:;|l:6g`=83;pD?l:;|l:6f6=83;pD?l:;|l:6f7=83;pD?l:;|l:6f4=83;pD?l:;|l:6f5=83;pD?l:;|l:6f2=83;pD?l:;|l:6f3=83;pD?l:;|l:6f0=83;pD?l:;|l:6f1=83;pD?l:;|l:6f>=83;pD?l:;|l:6f?=83;pD?l:;|l:6fg=83;pD?l:;|l:6fd=83;pD?l:;|l:6fe=83;pD?l:;|l:6fb=83;pD?l:;|l:6fc=83;pD?l:;|l:6f`=83;pD?l:;|l:6a6=83;pD?l:;|l:6a7=83;pD?l:;|l:6a4=83;pD?l:;|l:6a5=83;pD?l:;|l:6a2=83;pD?l:;|l:6a3=83;pD?l:;|l:6a0=83;pD?l:;|l:6a1=83;pD?l:;|l:6a>=83;pD?l:;|l:6a?=83;pD?l:;|l:6ag=83;pD?l:;|l:6ad=83;pD?l:;|l:6ae=83;pD?l:;|l:6ab=83;pD?l:;|l:6ac=83;pD?l:;|l:6a`=83;pD?l:;|l:6`6=83;pD?l:;|l:6`7=83;pD?l:;|l:6`4=83;pD?l:;|l:6`5=83;pD?l:;|l:6`2=83;pD?l:;|l:6`3=83;pD?l:;|l:6`0=83;pD?l:;|l:6`1=83;pD?l:;|l:6`>=83;pD?l:;|l:6`?=83;pD?l:;|l:6`g=83;pD?l:;|l:6`d=83;pD?l:;|l:6`e=83;pD?l:;|l:6`b=83;pD?l:;|l:6`c=83;pD?l:;|l:6``=83;pD?l:;|l:6c6=83;pD?l:;|l:6c7=83;pD?l:;|l:6c4=83;pD?l:;|l:6c5=83;pD?l:;|l:6c2=83;pD?l:;|l:6c3=83;pD?l:;|l:6c0=83;pD?l:;|l:6c1=83;pD?l:;|l:6c>=83;pD?l:;|l:6c?=83;pD?l:;|l:6cg=83;pD?l:;|l:6cd=83;pD?l:;|l:6ce=83;pD?l:;|l:6cb=83;pD?l:;|l:6cc=83;pD?l:;|l:6c`=83;pD?l:;|l:756=83;pD?l:;|l:757=83;pD?l:;|l:754=83;pD?l:;|l:755=83;pD?l:;|l:752=83;pD?l:;|l:753=83;pD?l:;|l:750=83;pD?l:;|l:751=83;pD?l:;|l:75>=83;pD?l:;|l:75?=83;pD?l:;|l:75g=83;pD?l:;|l:75d=83;pD?l:;|l:75e=83;pD?l:;|l:75b=83;pD?l:;|l:75c=83;pD?l:;|l:75`=83;pD?l:;|l:746=83;pD?l:;|l:747=83;pD?l:;|l:744=83;pD?l:;|l:745=83;pD?l:;|l:742=83;pD?l:;|l:743=83;pD?l:;|l:740=83;pD?l:;|l:741=83;pD?l:;|l:74>=83;pD?l:;|l:74?=83;pD?l:;|l:74g=83;pD?l:;|l:74d=83;pD?l:;|l:74e=83;pD?l:;|l:74b=83;pD?l:;|l:74c=83;pD?l:;|l:74`=83;pD?l:;|l:776=83;pD?l:;|l:777=83;pD?l:;|l:774=83;pD?l:;|l:775=83;pD?l:;|l:772=83;pD?l:;|l:773=83;pD?l:;|l:770=83;pD?l:;|l:771=83;pD?l:;|l:77>=83;pD?l:;|l:77?=83;pD?l:;|l:77g=83;pD?l:;|l:77d=83;pD?l:;|l:77e=83;pD?l:;|l:77b=83;pD?l:;|l:77c=83;pD?l:;|l:77`=83;pD?l:;|l:766=83;pD?l:;|l:767=83;pD?l:;|l:764=83;pD?l:;|l:765=83;pD?l:;|l:762=83;pD?l:;|l:763=83;pD?l:;|l:760=83;pD?l:;|l:761=83;pD?l:;|l:76>=83;pD?l:;|l:76?=83;pD?l:;|l:76g=83;pD?l:;|l:76d=83;pD?l:;|l:76e=83;pD?l:;|l:76b=83;pD?l:;|l:76c=83;pD?l:;|l:76`=83;pD?l:;|l:716=83;pD?l:;|l:717=83;pD?l:;|l:714=83;pD?l:;|l:715=83;pD?l:;|l:712=83;pD?l:;|l:713=83;pD?l:;|l:710=83;pD?l:;|l:711=83;pD?l:;|l:71>=83;pD?l:;|l:71?=83;pD?l:;|l:71g=83;pD?l:;|l:71d=83;pD?l:;|l:71e=83;pD?l:;|l:71b=83;pD?l:;|l:71c=83;pD?l:;|l:71`=83;pD?l:;|l:706=83;pD?l:;|l:707=83;pD?l:;|l:704=83;pD?l:;|l:705=83;pD?l:;|l:702=83;pD?l:;|l:703=83;pD?l:;|l:700=83;pD?l:;|l:701=83;pD?l:;|l:70>=83;pD?l:;|l:70?=83;pD?l:;|l:70g=83;pD?l:;|l:70d=83;pD?l:;|l:70e=83;pD?l:;|l:70b=83;pD?l:;|l:70c=83;pD?l:;|l:70`=83;pD?l:;|l:736=83;pD?l:;|l:737=83;pD?l:;|l:734=83;pD?l:;|l:735=83;pD?l:;|l:732=83;pD?l:;|l:733=83;pD?l:;|l:730=83;pD?l:;|l:731=83;pD?l:;|l:73>=83;pD?l:;|l:73?=83;pD?l:;|l:73g=83;pD?l:;|l:73d=83;pD?l:;|l:73e=83;pD?l:;|l:73b=83;pD?l:;|l:73c=83;pD?l:;|l:73`=83;pD?l:;|l:726=83;pD?l:;|l:727=83;pD?l:;|l:724=83;pD?l:;|l:725=83;pD?l:;|l:722=83;pD?l:;|l:723=83;pD?l:;|l:720=83;pD?l:;|l:721=83;pD?l:;|l:72>=83;pD?l:;|l:72?=83;pD?l:;|l:72g=83;pD?l:;|l:72d=83;pD?l:;|l:72e=83;pD?l:;|l:72b=83;pD?l:;|l:72c=83;pD?l:;|l:72`=83;pD?l:;|l:7=6=83;pD?l:;|l:7=7=83;pD?l:;|l:7=4=83;pD?l:;|l:7=5=83;pD?l:;|l:7=2=83;pD?l:;|l:7=3=83;pD?l:;|l:7=0=83;pD?l:;|l:7=1=83;pD?l:;|l:7=>=83;pD?l:;|l:7=?=83;pD?l:;|l:7=g=83;pD?l:;|l:7=d=83;pD?l:;|l:7=e=83;pD?l:;|l:7=b=83;pD?l:;|l:7=c=83;pD?l:;|l:7=`=83;pD?l:;|l:7<6=83;pD?l:;|l:7<7=83;pD?l:;|l:7<4=83;pD?l:;|l:7<5=83;pD?l:;|l:7<2=83;pD?l:;|l:7<3=83;pD?l:;|l:7<0=83;pD?l:;|l:7<1=83;pD?l:;|l:7<>=83;pD?l:;|l:7=83;pD?l:;|l:7d?=83;pD?l:;|l:7dg=83;pD?l:;|l:7dd=83;pD?l:;|l:7de=83;pD?l:;|l:7db=83;pD?l:;|l:7dc=83;pD?l:;|l:7d`=83;pD?l:;|l:7g6=83;pD?l:;|l:7g7=83;pD?l:;|l:7g4=83;pD?l:;|l:7g5=83;pD?l:;|l:7g2=83;pD?l:;|l:7g3=83;pD?l:;|l:7g0=83;pD?l:;|l:7g1=83;pD?l:;|l:7g>=83;pD?l:;|l:7g?=83;pD?l:;|l:7gg=83;pD?l:;|l:7gd=83;pD?l:;|l:7ge=83;pD?l:;|l:7gb=83;pD?l:;|l:7gc=83;pD?l:;|l:7g`=83;pD?l:;|l:7f6=83;pD?l:;|l:7f7=83;pD?l:;|l:7f4=83;pD?l:;|l:7f5=83;pD?l:;|l:7f2=83;pD?l:;|l:7f3=83;pD?l:;|l:7f0=83;pD?l:;|l:7f1=83;pD?l:;|l:7f>=83;pD?l:;|l:7f?=83;pD?l:;|l:7fg=83;pD?l:;|l:7fd=83;pD?l:;|l:7fe=83;pD?l:;|l:7fb=83;pD?l:;|l:7fc=83;pD?l:;|l:7f`=83;pD?l:;|l:7a6=83;pD?l:;|l:7a7=83;pD?l:;|l:7a4=83;pD?l:;|l:7a5=83;pD?l:;|l:7a2=83;pD?l:;|l:7a3=83;pD?l:;|l:7a0=83;pD?l:;|l:7a1=83;pD?l:;|l:7a>=83;pD?l:;|l:7a?=83;pD?l:;|l:7ag=83;pD?l:;|l:7ad=83;pD?l:;|l:7ae=83;pD?l:;|l:7ab=83;pD?l:;|l:7ac=83;pD?l:;|l:7a`=83;pD?l:;|l:7`6=83;pD?l:;|l:7`7=83;pD?l:;|l:7`4=83;pD?l:;|l:7`5=83;pD?l:;|l:7`2=83;pD?l:;|l:7`3=83;pD?l:;|l:7`0=83;pD?l:;|l:7`1=83;pD?l:;|l:7`>=83;pD?l:;|l:7`?=83;pD?l:;|l:7`g=83;pD?l:;|l:7`d=83;pD?l:;|l:7`e=83;pD?l:;|l:7`b=83;pD?l:;|l:7`c=83;pD?l:;|l:7``=83;pD?l:;|l:7c6=83;pD?l:;|l:7c7=83;pD?l:;|l:7c4=83;pD?l:;|l:7c5=83;pD?l:;|l:7c2=83;pD?l:;|l:7c3=83;pD?l:;|l:7c0=83;pD?l:;|l:7c1=83;pD?l:;|l:7c>=83;pD?l:;|l:7c?=83;pD?l:;|l:7cg=83;pD?l:;|l:7cd=83;pD?l:;|l:7ce=83;pD?l:;|l:7cb=83;pD?l:;|l:7cc=83;pD?l:;|l:7c`=83;pD?l:;|l:056=83;pD?l:;|l:057=83;pD?l:;|l:054=83;pD?l:;|l:055=83;pD?l:;|l:052=83;pD?l:;|l:053=83;pD?l:;|l:050=83;pD?l:;|l:051=83;pD?l:;|l:05>=83;pD?l:;|l:05?=83;pD?l:;|l:05g=83;pD?l:;|l:05d=83;pD?l:;|l:05e=83;pD?l:;|l:05b=83;pD?l:;|l:05c=83;pD?l:;|l:05`=83;pD?l:;|l:046=83;pD?l:;|l:047=83;pD?l:;|l:044=83;pD?l:;|l:045=83;pD?l:;|l:042=83;pD?l:;|l:043=83;pD?l:;|l:040=83;pD?l:;|l:041=83;pD?l:;|l:04>=83;pD?l:;|l:04?=83;pD?l:;|l:04g=83;pD?l:;|l:04d=83;pD?l:;|l:04e=83;pD?l:;|l:04b=83;pD?l:;|l:04c=83;pD?l:;|l:04`=83;pD?l:;|l:076=83;pD?l:;|l:077=83;pD?l:;|l:074=83;pD?l:;|l:075=83;pD?l:;|l:072=83;pD?l:;|l:073=83;pD?l:;|l:070=83;pD?l:;|l:071=83;pD?l:;|l:07>=83;pD?l:;|l:07?=83;pD?l:;|l:07g=83;pD?l:;|l:07d=83;pD?l:;|l:07e=83;pD?l:;|l:07b=83;pD?l:;|l:07c=83;pD?l:;|l:07`=83;pD?l:;|l:066=83;pD?l:;|l:067=83;pD?l:;|l:064=83;pD?l:;|l:065=83;pD?l:;|l:062=83;pD?l:;|l:063=83;pD?l:;|l:060=83;pD?l:;|l:061=83;pD?l:;|l:06>=83;pD?l:;|l:06?=83;pD?l:;|l:06g=83;pD?l:;|l:06d=83;pD?l:;|l:06e=83;pD?l:;|l:06b=83;pD?l:;|l:06c=83;pD?l:;|l:06`=83;pD?l:;|l:016=83;pD?l:;|l:017=83;pD?l:;|l:014=83;pD?l:;|l:015=83;pD?l:;|l:012=83;pD?l:;|l:013=83;pD?l:;|l:010=83;pD?l:;|l:011=83;pD?l:;|l:01>=83;pD?l:;|l:01?=83;pD?l:;|l:01g=83;pD?l:;|l:01d=83;pD?l:;|l:01e=83;pD?l:;|l:01b=83;pD?l:;|l:01c=83;pD?l:;|l:01`=83;pD?l:;|l:006=83;pD?l:;|l:007=83;pD?l:;|l:004=83;pD?l:;|l:005=83;pD?l:;|l:002=83;pD?l:;|l:003=83;pD?l:;|l:000=83;pD?l:;|l:001=83;pD?l:;|l:00>=83;pD?l:;|l:00?=83;pD?l:;|l:00g=83;pD?l:;|l:00d=83;pD?l:;|l:00e=83;pD?l:;|l:00b=83;pD?l:;|l:00c=83;pD?l:;|l:00`=83;pD?l:;|l:036=83;pD?l:;|l:037=83;pD?l:;|l:034=83;pD?l:;|l:035=83;pD?l:;|l:032=83;pD?l:;|l:033=83;pD?l:;|l:030=83;pD?l:;|l:031=83;pD?l:;|l:03>=83;pD?l:;|l:03?=83;pD?l:;|l:03g=83;pD?l:;|l:03d=83;pD?l:;|l:03e=83;pD?l:;|l:03b=83;pD?l:;|l:03c=83;pD?l:;|l:03`=83;pD?l:;|l:026=83;pD?l:;|l:027=83;pD?l:;|l:024=83;pD?l:;|l:025=83;pD?l:;|l:022=83;pD?l:;|l:023=83;pD?l:;|l:020=83;pD?l:;|l:021=83;pD?l:;|l:02>=83;pD?l:;|l:02?=83;pD?l:;|l:02g=83;pD?l:;|l:02d=83;pD?l:;|l:02e=83;pD?l:;|l:02b=83;pD?l:;|l:02c=83;pD?l:;|l:02`=83;pD?l:;|l:0=6=83;pD?l:;|l:0=7=83;pD?l:;|l:0=4=83;pD?l:;|l:0=5=83;pD?l:;|l:0=2=83;pD?l:;|l:0=3=83;pD?l:;|l:0=0=83;pD?l:;|l:0=1=83;pD?l:;|l:0=>=83;pD?l:;|l:0=?=83;pD?l:;|l:0=g=83;pD?l:;|l:0=d=83;pD?l:;|l:0=e=83;pD?l:;|l:0=b=83;pD?l:;|l:0=c=83;pD?l:;|l:0=`=83;pD?l:;|l:0<6=83;pD?l:;|l:0<7=83;pD?l:;|l:0<4=83;pD?l:;|l:0<5=83;pD?l:;|l:0<2=83;pD?l:;|l:0<3=83;pD?l:;|l:0<0=83;pD?l:;|l:0<1=83;pD?l:;|l:0<>=83;pD?l:;|l:0=83;pD?l:;|l:0d?=83;pD?l:;|l:0dg=83;pD?l:;|l:0dd=83;pD?l:;|l:0de=83;pD?l:;|l:0db=83;pD?l:;|l:0dc=83;pD?l:;|l:0d`=83;pD?l:;|l:0g6=83;pD?l:;|l:0g7=83;pD?l:;|l:0g4=83;pD?l:;|l:0g5=83;pD?l:;|l:0g2=83;pD?l:;|l:0g3=83;pD?l:;|l:0g0=83;pD?l:;|l:0g1=83;pD?l:;|l:0g>=83;pD?l:;|l:0g?=83;pD?l:;|l:0gg=83;pD?l:;|l:0gd=83;pD?l:;|l:0ge=83;pD?l:;|l:0gb=83;pD?l:;|l:0gc=83;pD?l:;|l:0g`=83;pD?l:;|l:0f6=83;pD?l:;|l:0f7=83;pD?l:;|l:0f4=83;pD?l:;|l:0f5=83;pD?l:;|l:0f2=83;pD?l:;|l:0f3=83;pD?l:;|l:0f0=83;pD?l:;|l:0f1=83;pD?l:;|l:0f>=83;pD?l:;|l:0f?=83;pD?l:;|l:0fg=83;pD?l:;|l:0fd=83;pD?l:;|l:0fe=83;pD?l:;|l:0fb=83;pD?l:;|l:0fc=83;pD?l:;|l:0f`=83;pD?l:;|l:0a6=83;pD?l:;|l:0a7=83;pD?l:;|l:0a4=83;pD?l:;|l:0a5=83;pD?l:;|l:0a2=83;pD?l:;|l:0a3=83;pD?l:;|l:0a0=83;pD?l:;|l:0a1=83;pD?l:;|l:0a>=83;pD?l:;|l:0a?=83;pD?l:;|l:0ag=83;pD?l:;|l:0ad=83;pD?l:;|l:0ae=83;pD?l:;|l:0ab=83;pD?l:;|l:0ac=83;pD?l:;|l:0a`=83;pD?l:;|l:0`6=83;pD?l:;|l:0`7=83;pD?l:;|l:0`4=83;pD?l:;|l:0`5=83;pD?l:;|l:0`2=83;pD?l:;|l:0`3=83;pD?l:;|l:0`0=83;pD?l:;|l:0`1=83;pD?l:;|l:0`>=83;pD?l:;|l:0`?=83;pD?l:;|l:0`g=83;pD?l:;|l:0`d=83;pD?l:;|l:0`e=83;pD?l:;|l:0`b=83;pD?l:;|l:0`c=83;pD?l:;|l:0``=83;pD?l:;|l:0c6=83;pD?l:;|l:0c7=83;pD?l:;|l:0c4=83;pD?l:;|l:0c5=83;pD?l:;|l:0c2=83;pD?l:;|l:0c3=83;pD?l:;|l:0c0=83;pD?l:;|l:0c1=83;pD?l:;|l:0c>=83;pD?l:;|l:0c?=83;pD?l:;|l:0cg=83;pD?l:;|l:0cd=83;pD?l:;|l:0ce=83;pD?l:;|l:0cb=83;pD?l:;|l:0cc=83;pD?l:;|l:0c`=83;pD?l:;|l:156=83;pD?l:;|l:157=83;pD?l:;|l:154=83;pD?l:;|l:155=83;pD?l:;|l:152=83;pD?l:;|l:153=83;pD?l:;|l:150=83;pD?l:;|l:151=83;pD?l:;|l:15>=83;pD?l:;|l:15?=83;pD?l:;|l:15g=83;pD?l:;|l:15d=83;pD?l:;|l:15e=83;pD?l:;|l:15b=83;pD?l:;|l:15c=83;pD?l:;|l:15`=83;pD?l:;|l:146=83;pD?l:;|l:147=83;pD?l:;|l:144=83;pD?l:;|l:145=83;pD?l:;|l:142=83;pD?l:;|l:143=83;pD?l:;|l:140=83;pD?l:;|l:141=83;pD?l:;|l:14>=83;pD?l:;|l:14?=83;pD?l:;|l:14g=83;pD?l:;|l:14d=83;pD?l:;|l:14e=83;pD?l:;|l:14b=83;pD?l:;|l:14c=83;pD?l:;|l:14`=83;pD?l:;|l:176=83;pD?l:;|l:177=83;pD?l:;|l:174=83;pD?l:;|l:175=83;pD?l:;|l:172=83;pD?l:;|l:173=83;pD?l:;|l:170=83;pD?l:;|l:171=83;pD?l:;|l:17>=83;pD?l:;|l:17?=83;pD?l:;|l:17g=83;pD?l:;|l:17d=83;pD?l:;|l:17e=83;pD?l:;|l:17b=83;pD?l:;|l:17c=83;pD?l:;|l:17`=83;pD?l:;|l:166=83;pD?l:;|l:167=83;pD?l:;|l:164=83;pD?l:;|l:165=83;pD?l:;|l:162=83;pD?l:;|l:163=83;pD?l:;|l:160=83;pD?l:;|l:161=83;pD?l:;|l:16>=83;pD?l:;|l:16?=83;pD?l:;|l:16g=83;pD?l:;|l:16d=83;pD?l:;|l:16e=83;pD?l:;|l:16b=83;pD?l:;|l:16c=83;pD?l:;|l:16`=83;pD?l:;|l:116=83;pD?l:;|l:117=83;pD?l:;|l:114=83;pD?l:;|l:115=83;pD?l:;|l:112=83;pD?l:;|l:113=83;pD?l:;|l:110=83;pD?l:;|l:111=83;pD?l:;|l:11>=83;pD?l:;|l:11?=83;pD?l:;|l:11g=83;pD?l:;|l:11d=83;pD?l:;|l:11e=83;pD?l:;|l:11b=83;pD?l:;|l:11c=83;pD?l:;|l:11`=83;pD?l:;|l:106=83;pD?l:;|l:107=83;pD?l:;|l:104=83;pD?l:;|l:105=83;pD?l:;|l:102=83;pD?l:;|l:103=83;pD?l:;|l:100=83;pD?l:;|l:101=83;pD?l:;|l:10>=83;pD?l:;|l:10?=83;pD?l:;|l:10g=83;pD?l:;|l:10d=83;pD?l:;|l:10e=83;pD?l:;|l:10b=83;pD?l:;|l:10c=83;pD?l:;|l:10`=83;pD?l:;|l:136=83;pD?l:;|l:137=83;pD?l:;|l:134=83;pD?l:;|l:135=83;pD?l:;|l:132=83;pD?l:;|l:133=83;pD?l:;|l:130=83;pD?l:;|l:131=83;pD?l:;|l:13>=83;pD?l:;|l:13?=83;pD?l:;|l:13g=83;pD?l:;|l:13d=83;pD?l:;|l:13e=83;pD?l:;|l:13b=83;pD?l:;|l:13c=83;pD?l:;|l:13`=83;pD?l:;|l:126=83;pD?l:;|l:127=83;pD?l:;|l:124=83;pD?l:;|l:125=83;pD?l:;|l:122=83;pD?l:;|l:123=83;pD?l:;|l:120=83;pD?l:;|l:121=83;pD?l:;|l:12>=83;pD?l:;|l:12?=83;pD?l:;|l:12g=83;pD?l:;|l:12d=83;pD?l:;|l:12e=83;pD?l:;|l:12b=83;pD?l:;|l:12c=83;pD?l:;|l:12`=83;pD?l:;|l:1=6=83;pD?l:;|l:1=7=83;pD?l:;|l:1=4=83;pD?l:;|l:1=5=83;pD?l:;|l:1=2=83;pD?l:;|l:1=3=83;pD?l:;|l:1=0=83;pD?l:;|l:1=1=83;pD?l:;|l:1=>=83;pD?l:;|l:1=?=83;pD?l:;|l:1=g=83;pD?l:;|l:1=d=83;pD?l:;|l:1=e=83;pD?l:;|l:1=b=83;pD?l:;|l:1=c=83;pD?l:;|l:1=`=83;pD?l:;|l:1<6=83;pD?l:;|l:1<7=83;pD?l:;|l:1<4=83;pD?l:;|l:1<5=83;pD?l:;|l:1<2=83;pD?l:;|l:1<3=83;pD?l:;|l:1<0=83;pD?l:;|l:1<1=83;pD?l:;|l:1<>=83;pD?l:;|l:1=83;pD?l:;|l:1d?=83;pD?l:;|l:1dg=83;pD?l:;|l:1dd=83;pD?l:;|l:1de=83;pD?l:;|l:1db=83;pD?l:;|l:1dc=83;pD?l:;|l:1d`=83;pD?l:;|l:1g6=83;pD?l:;|l:1g7=83;pD?l:;|l:1g4=83;pD?l:;|l:1g5=83;pD?l:;|l:1g2=83;pD?l:;|l:1g3=83;pD?l:;|l:1g0=83;pD?l:;|l:1g1=83;pD?l:;|l:1g>=83;pD?l:;|l:1g?=83;pD?l:;|l:1gg=83;pD?l:;|l:1gd=83;pD?l:;|l:1ge=83;pD?l:;|l:1gb=83;pD?l:;|l:1gc=83;pD?l:;|l:1g`=83;pD?l:;|l:1f6=83;pD?l:;|l:1f7=83;pD?l:;|l:1f4=83;pD?l:;|l:1f5=83;pD?l:;|l:1f2=83;pD?l:;|l:1f3=83;pD?l:;|l:1f0=83;pD?l:;|l:1f1=83;pD?l:;|l:1f>=83;pD?l:;|l:1f?=83;pD?l:;|l:1fg=83;pD?l:;|l:1fd=83;pD?l:;|l:1fe=83;pD?l:;|l:1fb=83;pD?l:;|l:1fc=83;pD?l:;|l:1f`=83;pD?l:;|l:1a6=83;pD?l:;|l:1a7=83;pD?l:;|l:1a4=83;pD?l:;|l:1a5=83;pD?l:;|l:1a2=83;pD?l:;|l:1a3=83;pD?l:;|l:1a0=83;pD?l:;|l:1a1=83;pD?l:;|l:1a>=83;pD?l:;|l:1a?=83;pD?l:;|l:1ag=83;pD?l:;|l:1ad=83;pD?l:;|l:1ae=83;pD?l:;|l:1ab=83;pD?l:;|l:1ac=83;pD?l:;|l:1a`=83;pD?l:;|l:1`6=83;pD?l:;|l:1`7=83;pD?l:;|l:1`4=83;pD?l:;|l:1`5=83;pD?l:;|l:1`2=83;pD?l:;|l:1`3=83;pD?l:;|l:1`0=83;pD?l:;|l:1`1=83;pD?l:;|l:1`>=83;pD?l:;|l:1`?=83;pD?l:;|l:1`g=83;pD?l:;|l:1`d=83;pD?l:;|l:1`e=83;pD?l:;|l:1`b=83;pD?l:;|l:1`c=83;pD?l:;|l:1``=83;pD?l:;|l:1c6=83;pD?l:;|l:1c7=83;pD?l:;|l:1c4=83;pD?l:;|l:1c5=83;pD?l:;|l:1c2=83;pD?l:;|l:1c3=83;pD?l:;|l:1c0=83;pD?l:;|l:1c1=83;pD?l:;|l:1c>=83;pD?l:;|l:1c?=83;pD?l:;|l:1cg=83;pD?l:;|l:1cd=83;pD?l:;|l:1ce=83;pD?l:;|l:1cb=83;pD?l:;|l:1cc=83;pD?l:;|l:1c`=83;pD?l:;|l:256=83;pD?l:;|l:257=83;pD?l:;|l:254=83;pD?l:;|l:255=83;pD?l:;|l:252=83;pD?l:;|l:253=83;pD?l:;|l:250=83;pD?l:;|l:251=83;pD?l:;|l:25>=83;pD?l:;|l:25?=83;pD?l:;|l:25g=83;pD?l:;|l:25d=83;pD?l:;|l:25e=83;pD?l:;|l:25b=83;pD?l:;|l:25c=83;pD?l:;|l:25`=83;pD?l:;|l:246=83;pD?l:;|l:247=83;pD?l:;|l:244=83;pD?l:;|l:245=83;pD?l:;|l:242=83;pD?l:;|l:243=83;pD?l:;|l:240=83;pD?l:;|l:241=83;pD?l:;|l:24>=83;pD?l:;|l:24?=83;pD?l:;|l:24g=83;pD?l:;|l:24d=83;pD?l:;|l:24e=83;pD?l:;|l:24b=83;pD?l:;|l:24c=83;pD?l:;|l:24`=83;pD?l:;|l:276=83;pD?l:;|l:277=83;pD?l:;|l:274=83;pD?l:;|l:275=83;pD?l:;|l:272=83;pD?l:;|l:273=83;pD?l:;|l:270=83;pD?l:;|l:271=83;pD?l:;|l:27>=83;pD?l:;|l:27?=83;pD?l:;|l:27g=83;pD?l:;|l:27d=83;pD?l:;|l:27e=83;pD?l:;|l:27b=83;pD?l:;|l:27c=83;pD?l:;|l:27`=83;pD?l:;|l:266=83;pD?l:;|l:267=83;pD?l:;|l:264=83;pD?l:;|l:265=83;pD?l:;|l:262=83;pD?l:;|l:263=83;pD?l:;|l:260=83;pD?l:;|l:261=83;pD?l:;|l:26>=83;pD?l:;|l:26?=83;pD?l:;|l:26g=83;pD?l:;|l:26d=83;pD?l:;|l:26e=83;pD?l:;|l:26b=83;pD?l:;|l:26c=83;pD?l:;|l:26`=83;pD?l:;|l:216=83;pD?l:;|l:217=83;pD?l:;|l:214=83;pD?l:;|l:215=83;pD?l:;|l:212=83;pD?l:;|l:213=83;pD?l:;|l:210=83;pD?l:;|l:211=83;pD?l:;|l:21>=83;pD?l:;|l:21?=83;pD?l:;|l:21g=83;pD?l:;|l:21d=83;pD?l:;|l:21e=83;pD?l:;|l:21b=83;pD?l:;|l:21c=83;pD?l:;|l:21`=83;pD?l:;|l:206=83;pD?l:;|l:207=83;pD?l:;|l:204=83;pD?l:;|l:205=83;pD?l:;|l:202=83;pD?l:;|l:203=83;pD?l:;|l:200=83;pD?l:;|l:201=83;pD?l:;|l:20>=83;pD?l:;|l:20?=83;pD?l:;|l:20g=83;pD?l:;|l:20d=83;pD?l:;|l:20e=83;pD?l:;|l:20b=83;pD?l:;|l:20c=83;pD?l:;|l:20`=83;pD?l:;|l:236=83;pD?l:;|l:237=83;pD?l:;|l:234=83;pD?l:;|l:235=83;pD?l:;|l:232=83;pD?l:;|l:233=83;pD?l:;|l:230=83;pD?l:;|l:231=83;pD?l:;|l:23>=83;pD?l:;|l:23?=83;pD?l:;|l:23g=83;pD?l:;|l:23d=83;pD?l:;|l:23e=83;pD?l:;|l:23b=83;pD?l:;|l:23c=83;pD?l:;|l:23`=83;pD?l:;|l:226=83;pD?l:;|l:227=83;pD?l:;|l:224=83;pD?l:;|l:225=83;pD?l:;|l:222=83;pD?l:;|l:223=83;pD?l:;|l:220=83;pD?l:;|l:221=83;pD?l:;|l:22>=83;pD?l:;|l:22?=83;pD?l:;|l:22g=83;pD?l:;|l:22d=83;pD?l:;|l:22e=83;pD?l:;|l:22b=83;pD?l:;|l:22c=83;pD?l:;|l:22`=83;pD?l:;|l:2=6=83;pD?l:;|l:2=7=83;pD?l:;|l:2=4=83;pD?l:;|l:2=5=83;pD?l:;|l:2=2=83;pD?l:;|l:2=3=83;pD?l:;|l:2=0=83;pD?l:;|l:2=1=83;pD?l:;|l:2=>=83;pD?l:;|l:2=?=83;pD?l:;|l:2=g=83;pD?l:;|l:2=d=83;pD?l:;|l:2=e=83;pD?l:;|l:2=b=83;pD?l:;|l:2=c=83;pD?l:;|l:2=`=83;pD?l:;|l:2<6=83;pD?l:;|l:2<7=83;pD?l:;|l:2<4=83;pD?l:;|l:2<5=83;pD?l:;|l:2<2=83;pD?l:;|l:2<3=83;pD?l:;|l:2<0=83;pD?l:;|l:2<1=83;pD?l:;|l:2<>=83;pD?l:;|l:2=83;pD?l:;|l:2d?=83;pD?l:;|l:2dg=83;pD?l:;|l:2dd=83;pD?l:;|l:2de=83;pD?l:;|l:2db=83;pD?l:;|l:2dc=83;pD?l:;|l:2d`=83;pD?l:;|l:2g6=83;pD?l:;|l:2g7=83;pD?l:;|l:2g4=83;pD?l:;|l:2g5=83;pD?l:;|l:2g2=83;pD?l:;|l:2g3=83;pD?l:;|l:2g0=83;pD?l:;|l:2g1=83;pD?l:;|l:2g>=83;pD?l:;|l:2g?=83;pD?l:;|l:2gg=83;pD?l:;|l:2gd=83;pD?l:;|l:2ge=83;pD?l:;|l:2gb=83;pD?l:;|l:2gc=83;pD?l:;|l:2g`=83;pD?l:;|l:2f6=83;pD?l:;|l:2f7=83;pD?l:;|l:2f4=83;pD?l:;|l:2f5=83;pD?l:;|l:2f2=83;pD?l:;|l:2f3=83;pD?l:;|l:2f0=83;pD?l:;|l:2f1=83;pD?l:;|l:2f>=83;pD?l:;|l:2f?=83;pD?l:;|l:2fg=83;pD?l:;|l:2fd=83;pD?l:;|l:2fe=83;pD?l:;|l:2fb=83;pD?l:;|l:2fc=83;pD?l:;|l:2f`=83;pD?l:;|l:2a6=83;pD?l:;|l:2a7=83;pD?l:;|l:2a4=83;pD?l:;|l:2a5=83;pD?l:;|l:2a2=83;pD?l:;|l:2a3=83;pD?l:;|l:2a0=83;pD?l:;|l:2a1=83;pD?l:;|l:2a>=83;pD?l:;|l:2a?=83;pD?l:;|l:2ag=83;pD?l:;|l:2ad=83;pD?l:;|l:2ae=83;pD?l:;|l:2ab=83;pD?l:;|l:2ac=83;pD?l:;|l:2a`=83;pD?l:;|l:2`6=83;pD?l:;|l:2`7=83;pD?l:;|l:2`4=83;pD?l:;|l:2`5=83;pD?l:;|l:2`2=83;pD?l:;|l:2`3=83;pD?l:;|l:2`0=83;pD?l:;|l:2`1=83;pD?l:;|l:2`>=83;pD?l:;|l:2`?=83;pD?l:;|l:2`g=83;pD?l:;|l:2`d=83;pD?l:;|l:2`e=83;pD?l:;|l:2`b=83;pD?l:;|l:2`c=83;pD?l:;|l:2``=83;pD?l:;|l:2c6=83;pD?l:;|l:2c7=83;pD?l:;|l:2c4=83;pD?l:;|l:2c5=83;pD?l:;|l:2c2=83;pD?l:;|l:2c3=83;pD?l:;|l:2c0=83;pD?l:;|l:2c1=83;pD?l:;|l:2c>=83;pD?l:;|l:2c?=83;pD?l:;|l:2cg=83;pD?l:;|l:2cd=83;pD?l:;|l:2ce=83;pD?l:;|l:2cb=83;pD?l:;|l:2cc=83;pD?l:;|l:2c`=83;pD?l:;|l:356=83;pD?l:;|l:357=83;pD?l:;|l:354=83;pD?l:;|l:355=83;pD?l:;|l:352=83;pD?l:;|l:353=83;pD?l:;|l:350=83;pD?l:;|l:351=83;pD?l:;|l:35>=83;pD?l:;|l:35?=83;pD?l:;|l:35g=83;pD?l:;|l:35d=83;pD?l:;|l:35e=83;pD?l:;|l:35b=83;pD?l:;|l:35c=83;pD?l:;|l:35`=83;pD?l:;|l:346=83;pD?l:;|l:347=83;pD?l:;|l:344=83;pD?l:;|l:345=83;pD?l:;|l:342=83;pD?l:;|l:343=83;pD?l:;|l:340=83;pD?l:;|l:341=83;pD?l:;|l:34>=83;pD?l:;|l:34?=83;pD?l:;|l:34g=83;pD?l:;|l:34d=83;pD?l:;|l:34e=83;pD?l:;|l:34b=83;pD?l:;|l:34c=83;pD?l:;|l:34`=83;pD?l:;|l:376=83;pD?l:;|l:377=83;pD?l:;|l:374=83;pD?l:;|l:375=83;pD?l:;|l:372=83;pD?l:;|l:373=83;pD?l:;|l:370=83;pD?l:;|l:371=83;pD?l:;|l:37>=83;pD?l:;|l:37?=83;pD?l:;|l:37g=83;pD?l:;|l:37d=83;pD?l:;|l:37e=83;pD?l:;|l:37b=83;pD?l:;|l:37c=83;pD?l:;|l:37`=83;pD?l:;|l:366=83;pD?l:;|l:367=83;pD?l:;|l:364=83;pD?l:;|l:365=83;pD?l:;|l:362=83;pD?l:;|l:363=83;pD?l:;|l:360=83;pD?l:;|l:361=83;pD?l:;|l:36>=83;pD?l:;|l:36?=83;pD?l:;|l:36g=83;pD?l:;|l:36d=83;pD?l:;|l:36e=83;pD?l:;|l:36b=83;pD?l:;|l:36c=83;pD?l:;|l:36`=83;pD?l:;|l:316=83;pD?l:;|l:317=83;pD?l:;|l:314=83;pD?l:;|l:315=83;pD?l:;|l:312=83;pD?l:;|l:313=83;pD?l:;|l:310=83;pD?l:;|l:311=83;pD?l:;|l:31>=83;pD?l:;|l:31?=83;pD?l:;|l:31g=83;pD?l:;|l:31d=83;pD?l:;|l:31e=83;pD?l:;|l:31b=83;pD?l:;|l:31c=83;pD?l:;|l:31`=83;pD?l:;|l:306=83;pD?l:;|l:307=83;pD?l:;|l:304=83;pD?l:;|l:305=83;pD?l:;|l:302=83;pD?l:;|l:303=83;pD?l:;|l:300=83;pD?l:;|l:301=83;pD?l:;|l:30>=83;pD?l:;|l:30?=83;pD?l:;|l:30g=83;pD?l:;|l:30d=83;pD?l:;|l:30e=83;pD?l:;|l:30b=83;pD?l:;|l:30c=83;pD?l:;|l:30`=83;pD?l:;|l:336=83;pD?l:;|l:337=83;pD?l:;|l:334=83;pD?l:;|l:335=83;pD?l:;|l:332=83;pD?l:;|l:333=83;pD?l:;|l:330=83;pD?l:;|l:331=83;pD?l:;|l:33>=83;pD?l:;|l:33?=83;pD?l:;|l:33g=83;pD?l:;|l:33d=83;pD?l:;|l:33e=83;pD?l:;|l:33b=83;pD?l:;|l:33c=83;pD?l:;|l:33`=83;pD?l:;|l:326=83;pD?l:;|l:327=83;pD?l:;|l:324=83;pD?l:;|l:325=83;pD?l:;|l:322=83;pD?l:;|l:323=83;pD?l:;|l:320=83;pD?l:;|l:321=83;pD?l:;|l:32>=83;pD?l:;|l:32?=83;pD?l:;|l:32g=83;pD?l:;|l:32d=83;pD?l:;|l:32e=83;pD?l:;|l:32b=83;pD?l:;|l:32c=83;pD?l:;|l:32`=83;pD?l:;|l:3=6=83;pD?l:;|l:3=7=83;pD?l:;|l:3=4=83;pD?l:;|l:3=5=83;pD?l:;|l:3=2=83;pD?l:;|l:3=3=83;pD?l:;|l:3=0=83;pD?l:;|l:3=1=83;pD?l:;|l:3=>=83;pD?l:;|l:3=?=83;pD?l:;|l:3=g=83;pD?l:;|l:3=d=83;pD?l:;|l:3=e=83;pD?l:;|l:3=b=83;pD?l:;|l:3=c=83;pD?l:;|l:3=`=83;pD?l:;|l:3<6=83;pD?l:;|l:3<7=83;pD?l:;|l:3<4=83;pD?l:;|l:3<5=83;pD?l:;|l:3<2=83;pD?l:;|l:3<3=83;pD?l:;|l:3<0=83;pD?l:;|l:3<1=83;pD?l:;|l:3<>=83;pD?l:;|l:3=83;pD?l:;|l:3d?=83;pD?l:;|l:3dg=83;pD?l:;|l:3dd=83;pD?l:;|l:3de=83;pD?l:;|l:3db=83;pD?l:;|l:3dc=83;pD?l:;|l:3d`=83;pD?l:;|l:3g6=83;pD?l:;|l:3g7=83;pD?l:;|l:3g4=83;pD?l:;|l:3g5=83;pD?l:;|l:3g2=83;pD?l:;|l:3g3=83;pD?l:;|l:3g0=83;pD?l:;|l:3g1=83;pD?l:;|l:3g>=83;pD?l:;|l:3g?=83;pD?l:;|l:3gg=83;pD?l:;|l:3gd=83;pD?l:;|l:3ge=83;pD?l:;|l:3gb=83;pD?l:;|l:3gc=83;pD?l:;|l:3g`=83;pD?l:;|l:3f6=83;pD?l:;|l:3f7=83;pD?l:;|l:3f4=83;pD?l:;|l:3f5=83;pD?l:;|l:3f2=83;pD?l:;|l:3f3=83;pD?l:;|l:3f0=83;pD?l:;|l:3f1=83;pD?l:;|l:3f>=83;pD?l:;|l:3f?=83;pD?l:;|l:3fg=83;pD?l:;|l:3fd=83;pD?l:;|l:3fe=83;pD?l:;|l:3fb=83;pD?l:;|l:3fc=83;pD?l:;|l:3f`=83;pD?l:;|l:3a6=83;pD?l:;|l:3a7=83;pD?l:;|l:3a4=83;pD?l:;|l:3a5=83;pD?l:;|l:3a2=83;pD?l:;|l:3a3=83;pD?l:;|l:3a0=83;pD?l:;|l:3a1=83;pD?l:;|l:3a>=83;pD?l:;|l:3a?=83;pD?l:;|l:3ag=83;pD?l:;|l:3ad=83;pD?l:;|l:3ae=83;pD?l:;|l:3ab=83;pD?l:;|l:3ac=83;pD?l:;|l:3a`=83;pD?l:;|l:3`6=83;pD?l:;|l:3`7=83;pD?l:;|l:3`4=83;pD?l:;|l:3`5=83;pD?l:;|l:3`2=83;pD?l:;|l:3`3=83;pD?l:;|l:3`0=83;pD?l:;|l:3`1=83;pD?l:;|l:3`>=83;pD?l:;|l:3`?=83;pD?l:;|l:3`g=83;pD?l:;|l:3`d=83;pD?l:;|l:3`e=83;pD?l:;|l:3`b=83;pD?l:;|l:3`c=83;pD?l:;|l:3``=83;pD?l:;|l:3c6=83;pD?l:;|l:3c7=83;pD?l:;|l:3c4=83;pD?l:;|l:3c5=83;pD?l:;|l:3c2=83;pD?l:;|l:3c3=83;pD?l:;|l:3c0=83;pD?l:;|l:3c1=83;pD?l:;|l:3c>=83;pD?l:;|l:3c?=83;pD?l:;|l:3cg=83;pD?l:;|l:3cd=83;pD?l:;|l:3ce=83;pD?l:;|l:3cb=83;pD?l:;|l:3cc=83;pD?l:;|l:3c`=83;pD?l:;|l:<56=83;pD?l:;|l:<57=83;pD?l:;|l:<54=83;pD?l:;|l:<55=83;pD?l:;|l:<52=83;pD?l:;|l:<53=83;pD?l:;|l:<50=83;pD?l:;|l:<51=83;pD?l:;|l:<5>=83;pD?l:;|l:<5?=83;pD?l:;|l:<5g=83;pD?l:;|l:<5d=83;pD?l:;|l:<5e=83;pD?l:;|l:<5b=83;pD?l:;|l:<5c=83;pD?l:;|l:<5`=83;pD?l:;|l:<46=83;pD?l:;|l:<47=83;pD?l:;|l:<44=83;pD?l:;|l:<45=83;pD?l:;|l:<42=83;pD?l:;|l:<43=83;pD?l:;|l:<40=83;pD?l:;|l:<41=83;pD?l:;|l:<4>=83;pD?l:;|l:<4?=83;pD?l:;|l:<4g=83;pD?l:;|l:<4d=83;pD?l:;|l:<4e=83;pD?l:;|l:<4b=83;pD?l:;|l:<4c=83;pD?l:;|l:<4`=83;pD?l:;|l:<76=83;pD?l:;|l:<77=83;pD?l:;|l:<74=83;pD?l:;|l:<75=83;pD?l:;|l:<72=83;pD?l:;|l:<73=83;pD?l:;|l:<70=83;pD?l:;|l:<71=83;pD?l:;|l:<7>=83;pD?l:;|l:<7?=83;pD?l:;|l:<7g=83;pD?l:;|l:<7d=83;pD?l:;|l:<7e=83;pD?l:;|l:<7b=83;pD?l:;|l:<7c=83;pD?l:;|l:<7`=83;pD?l:;|l:<66=83;pD?l:;|l:<67=83;pD?l:;|l:<64=83;pD?l:;|l:<65=83;pD?l:;|l:<62=83;pD?l:;|l:<63=83;pD?l:;|l:<60=83;pD?l:;|l:<61=83;pD?l:;|l:<6>=83;pD?l:;|l:<6?=83;pD?l:;|l:<6g=83;pD?l:;|l:<6d=83;pD?l:;|l:<6e=83;pD?l:;|l:<6b=83;pD?l:;|l:<6c=83;pD?l:;|l:<6`=83;pD?l:;|l:<16=83;pD?l:;|l:<17=83;pD?l:;|l:<14=83;pD?l:;|l:<15=83;pD?l:;|l:<12=83;pD?l:;|l:<13=83;pD?l:;|l:<10=83;pD?l:;|l:<11=83;pD?l:;|l:<1>=83;pD?l:;|l:<1?=83;pD?l:;|l:<1g=83;pD?l:;|l:<1d=83;pD?l:;|l:<1e=83;pD?l:;|l:<1b=83;pD?l:;|l:<1c=83;pD?l:;|l:<1`=83;pD?l:;|l:<06=83;pD?l:;|l:<07=83;pD?l:;|l:<04=83;pD?l:;|l:<05=83;pD?l:;|l:<02=83;pD?l:;|l:<03=83;pD?l:;|l:<00=83;pD?l:;|l:<01=83;pD?l:;|l:<0>=83;pD?l:;|l:<0?=83;pD?l:;|l:<0g=83;pD?l:;|l:<0d=83;pD?l:;|l:<0e=83;pD?l:;|l:<0b=83;pD?l:;|l:<0c=83;pD?l:;|l:<0`=83;pD?l:;|l:<36=83;pD?l:;|l:<37=83;pD?l:;|l:<34=83;pD?l:;|l:<35=83;pD?l:;|l:<32=83;pD?l:;|l:<33=83;pD?l:;|l:<30=83;pD?l:;|l:<31=83;pD?l:;|l:<3>=83;pD?l:;|l:<3?=83;pD?l:;|l:<3g=83;pD?l:;|l:<3d=83;pD?l:;|l:<3e=83;pD?l:;|l:<3b=83;pD?l:;|l:<3c=83;pD?l:;|l:<3`=83;pD?l:;|l:<26=83;pD?l:;|l:<27=83;pD?l:;|l:<24=83;pD?l:;|l:<25=83;pD?l:;|l:<22=83;pD?l:;|l:<23=83;pD?l:;|l:<20=83;pD?l:;|l:<21=83;pD?l:;|l:<2>=83;pD?l:;|l:<2?=83;pD?l:;|l:<2g=83;pD?l:;|l:<2d=83;pD?l:;|l:<2e=83;pD?l:;|l:<2b=83;pD?l:;|l:<2c=83;pD?l:;|l:<2`=83;pD?l:;|l:<=6=83;pD?l:;|l:<=7=83;pD?l:;|l:<=4=83;pD?l:;|l:<=5=83;pD?l:;|l:<=2=83;pD?l:;|l:<=3=83;pD?l:;|l:<=0=83;pD?l:;|l:<=1=83;pD?l:;|l:<=>=83;pD?l:;|l:<=?=83;pD?l:;|l:<=g=83;pD?l:;|l:<=d=83;pD?l:;|l:<=e=83;pD?l:;|l:<=b=83;pD?l:;|l:<=c=83;pD?l:;|l:<=`=83;pD?l:;|l:<<6=83;pD?l:;|l:<<7=83;pD?l:;|l:<<4=83;pD?l:;|l:<<5=83;pD?l:;|l:<<2=83;pD?l:;|l:<<3=83;pD?l:;|l:<<0=83;pD?l:;|l:<<1=83;pD?l:;|l:<<>=83;pD?l:;|l:<=83;pD?l:;|l:=83;pD?l:;|l:=83;pD?l:;|l:=83;pD?l:;|l:=83;pD?l:;|l:<`?=83;pD?l:;|l:<`g=83;pD?l:;|l:<`d=83;pD?l:;|l:<`e=83;pD?l:;|l:<`b=83;pD?l:;|l:<`c=83;pD?l:;|l:<``=83;pD?l:;|l:=83;pD?l:;|l:=83;pD?l:;|l:=5?=83;pD?l:;|l:=5g=83;pD?l:;|l:=5d=83;pD?l:;|l:=5e=83;pD?l:;|l:=5b=83;pD?l:;|l:=5c=83;pD?l:;|l:=5`=83;pD?l:;|l:=46=83;pD?l:;|l:=47=83;pD?l:;|l:=44=83;pD?l:;|l:=45=83;pD?l:;|l:=42=83;pD?l:;|l:=43=83;pD?l:;|l:=40=83;pD?l:;|l:=41=83;pD?l:;|l:=4>=83;pD?l:;|l:=4?=83;pD?l:;|l:=4g=83;pD?l:;|l:=4d=83;pD?l:;|l:=4e=83;pD?l:;|l:=4b=83;pD?l:;|l:=4c=83;pD?l:;|l:=4`=83;pD?l:;|l:=76=83;pD?l:;|l:=77=83;pD?l:;|l:=74=83;pD?l:;|l:=75=83;pD?l:;|l:=72=83;pD?l:;|l:=73=83;pD?l:;|l:=70=83;pD?l:;|l:=71=83;pD?l:;|l:=7>=83;pD?l:;|l:=7?=83;pD?l:;|l:=7g=83;pD?l:;|l:=7d=83;pD?l:;|l:=7e=83;pD?l:;|l:=7b=83;pD?l:;|l:=7c=83;pD?l:;|l:=7`=83;pD?l:;|l:=66=83;pD?l:;|l:=67=83;pD?l:;|l:=64=83;pD?l:;|l:=65=83;pD?l:;|l:=62=83;pD?l:;|l:=63=83;pD?l:;|l:=60=83;pD?l:;|l:=61=83;pD?l:;|l:=6>=83;pD?l:;|l:=6?=83;pD?l:;|l:=6g=83;pD?l:;|l:=6d=83;pD?l:;|l:=6e=83;pD?l:;|l:=6b=83;pD?l:;|l:=6c=83;pD?l:;|l:=6`=83;pD?l:;|l:=16=83;pD?l:;|l:=17=83;pD?l:;|l:=14=83;pD?l:;|l:=15=83;pD?l:;|l:=12=83;pD?l:;|l:=13=83;pD?l:;|l:=10=83;pD?l:;|l:=11=83;pD?l:;|l:=1>=83;pD?l:;|l:=1?=83;pD?l:;|l:=1g=83;pD?l:;|l:=1d=83;pD?l:;|l:=1e=83;pD?l:;|l:=1b=83;pD?l:;|l:=1c=83;pD?l:;|l:=1`=83;pD?l:;|l:=06=83;pD?l:;|l:=07=83;pD?l:;|l:=04=83;pD?l:;|l:=05=83;pD?l:;|l:=02=83;pD?l:;|l:=03=83;pD?l:;|l:=00=83;pD?l:;|l:=01=83;pD?l:;|l:=0>=83;pD?l:;|l:=0?=83;pD?l:;|l:=0g=83;pD?l:;|l:=0d=83;pD?l:;|l:=0e=83;pD?l:;|l:=0b=83;pD?l:;|l:=0c=83;pD?l:;|l:=0`=83;pD?l:;|l:=36=83;pD?l:;|l:=37=83;pD?l:;|l:=34=83;pD?l:;|l:=35=83;pD?l:;|l:=32=83;pD?l:;|l:=33=83;pD?l:;|l:=30=83;pD?l:;|l:=31=83;pD?l:;|l:=3>=83;pD?l:;|l:=3?=83;pD?l:;|l:=3g=83;pD?l:;|l:=3d=83;pD?l:;|l:=3e=83;pD?l:;|l:=3b=83;pD?l:;|l:=3c=83;pD?l:;|l:=3`=83;pD?l:;|l:=26=83;pD?l:;|l:=27=83;pD?l:;|l:=24=83;pD?l:;|l:=25=83;pD?l:;|l:=22=83;pD?l:;|l:=23=83;pD?l:;|l:=20=83;pD?l:;|l:=21=83;pD?l:;|l:=2>=83;pD?l:;|l:=2?=83;pD?l:;|l:=2g=83;pD?l:;|l:=2d=83;pD?l:;|l:=2e=83;pD?l:;|l:=2b=83;pD?l:;|l:=2c=83;pD?l:;|l:=2`=83;pD?l:;|l:==6=83;pD?l:;|l:==7=83;pD?l:;|l:==4=83;pD?l:;|l:==5=83;pD?l:;|l:==2=83;pD?l:;|l:==3=83;pD?l:;|l:==0=83;pD?l:;|l:==1=83;pD?l:;|l:==>=83;pD?l:;|l:==?=83;pD?l:;|l:==g=83;pD?l:;|l:==d=83;pD?l:;|l:==e=83;pD?l:;|l:==b=83;pD?l:;|l:==c=83;pD?l:;|l:==`=83;pD?l:;|l:=<6=83;pD?l:;|l:=<7=83;pD?l:;|l:=<4=83;pD?l:;|l:=<5=83;pD?l:;|l:=<2=83;pD?l:;|l:=<3=83;pD?l:;|l:=<0=83;pD?l:;|l:=<1=83;pD?l:;|l:=<>=83;pD?l:;|l:==83;pD?l:;|l:=d?=83;pD?l:;|l:=dg=83;pD?l:;|l:=dd=83;pD?l:;|l:=de=83;pD?l:;|l:=db=83;pD?l:;|l:=dc=83;pD?l:;|l:=d`=83;pD?l:;|l:=g6=83;pD?l:;|l:=g7=83;pD?l:;|l:=g4=83;pD?l:;|l:=g5=83;pD?l:;|l:=g2=83;pD?l:;|l:=g3=83;pD?l:;|l:=g0=83;pD?l:;|l:=g1=83;pD?l:;|l:=g>=83;pD?l:;|l:=g?=83;pD?l:;|l:=gg=83;pD?l:;|l:=gd=83;pD?l:;|l:=ge=83;pD?l:;|l:=gb=83;pD?l:;|l:=gc=83;pD?l:;|l:=g`=83;pD?l:;|l:=f6=83;pD?l:;|l:=f7=83;pD?l:;|l:=f4=83;pD?l:;|l:=f5=83;pD?l:;|l:=f2=83;pD?l:;|l:=f3=83;pD?l:;|l:=f0=83;pD?l:;|l:=f1=83;pD?l:;|l:=f>=83;pD?l:;|l:=f?=83;pD?l:;|l:=fg=83;pD?l:;|l:=fd=83;pD?l:;|l:=fe=83;pD?l:;|l:=fb=83;pD?l:;|l:=fc=83;pD?l:;|l:=f`=83;pD?l:;|l:=a6=83;pD?l:;|l:=a7=83;pD?l:;|l:=a4=83;pD?l:;|l:=a5=83;pD?l:;|l:=a2=83;pD?l:;|l:=a3=83;pD?l:;|l:=a0=83;pD?l:;|l:=a1=83;pD?l:;|l:=a>=83;pD?l:;|l:=a?=83;pD?l:;|l:=ag=83;pD?l:;|l:=ad=83;pD?l:;|l:=ae=83;pD?l:;|l:=ab=83;pD?l:;|l:=ac=83;pD?l:;|l:=a`=83;pD?l:;|l:=`6=83;pD?l:;|l:=`7=83;pD?l:;|l:=`4=83;pD?l:;|l:=`5=83;pD?l:;|l:=`2=83;pD?l:;|l:=`3=83;pD?l:;|l:=`0=83;pD?l:;|l:=`1=83;pD?l:;|l:=`>=83;pD?l:;|l:=`?=83;pD?l:;|l:=`g=83;pD?l:;|l:=`d=83;pD?l:;|l:=`e=83;pD?l:;|l:=`b=83;pD?l:;|l:=`c=83;pD?l:;|l:=``=83;pD?l:;|l:=c6=83;pD?l:;|l:=c7=83;pD?l:;|l:=c4=83;pD?l:;|l:=c5=83;pD?l:;|l:=c2=83;pD?l:;|l:=c3=83;pD?l:;|l:=c0=83;pD?l:;|l:=c1=83;pD?l:;|l:=c>=83;pD?l:;|l:=c?=83;pD?l:;|l:=cg=83;pD?l:;|l:=cd=83;pD?l:;|l:=ce=83;pD?l:;|l:=cb=83;pD?l:;|l:=cc=83;pD?l:;|l:=c`=83;pD?l:;|l:e56=83;pD?l:;|l:e57=83;pD?l:;|l:e54=83;pD?l:;|l:e55=83;pD?l:;|l:e52=83;pD?l:;|l:e53=83;pD?l:;|l:e50=83;pD?l:;|l:e51=83;pD?l:;|l:e5>=83;pD?l:;|l:e5?=83;pD?l:;|l:e5g=83;pD?l:;|l:e5d=83;pD?l:;|l:e5e=83;pD?l:;|l:e5b=83;pD?l:;|l:e5c=83;pD?l:;|l:e5`=83;pD?l:;|l:e46=83;pD?l:;|l:e47=83;pD?l:;|l:e44=83;pD?l:;|l:e45=83;pD?l:;|l:e42=83;pD?l:;|l:e43=83;pD?l:;|l:e40=83;pD?l:;|l:e41=83;pD?l:;|l:e4>=83;pD?l:;|l:e4?=83;pD?l:;|l:e4g=83;pD?l:;|l:e4d=83;pD?l:;|l:e4e=83;pD?l:;|l:e4b=83;pD?l:;|l:e4c=83;pD?l:;|l:e4`=83;pD?l:;|l:e76=83;pD?l:;|l:e77=83;pD?l:;|l:e74=83;pD?l:;|l:e75=83;pD?l:;|l:e72=83;pD?l:;|l:e73=83;pD?l:;|l:e70=83;pD?l:;|l:e71=83;pD?l:;|l:e7>=83;pD?l:;|l:e7?=83;pD?l:;|l:e7g=83;pD?l:;|l:e7d=83;pD?l:;|l:e7e=83;pD?l:;|l:e7b=83;pD?l:;|l:e7c=83;pD?l:;|l:e7`=83;pD?l:;|l:e66=83;pD?l:;|l:e67=83;pD?l:;|l:e64=83;pD?l:;|l:e65=83;pD?l:;|l:e62=83;pD?l:;|l:e63=83;pD?l:;|l:e60=83;pD?l:;|l:e61=83;pD?l:;|l:e6>=83;pD?l:;|l:e6?=83;pD?l:;|l:e6g=83;pD?l:;|l:e6d=83;pD?l:;|l:e6e=83;pD?l:;|l:e6b=83;pD?l:;|l:e6c=83;pD?l:;|l:e6`=83;pD?l:;|l:e16=83;pD?l:;|l:e17=83;pD?l:;|l:e14=83;pD?l:;|l:e15=83;pD?l:;|l:e12=83;pD?l:;|l:e13=83;pD?l:;|l:e10=83;pD?l:;|l:e11=83;pD?l:;|l:e1>=83;pD?l:;|l:e1?=83;pD?l:;|l:e1g=83;pD?l:;|l:e1d=83;pD?l:;|l:e1e=83;pD?l:;|l:e1b=83;pD?l:;|l:e1c=83;pD?l:;|l:e1`=83;pD?l:;|l:e06=83;pD?l:;|l:e07=83;pD?l:;|l:e04=83;pD?l:;|l:e05=83;pD?l:;|l:e02=83;pD?l:;|l:e03=83;pD?l:;|l:e00=83;pD?l:;|l:e01=83;pD?l:;|l:e0>=83;pD?l:;|l:e0?=83;pD?l:;|l:e0g=83;pD?l:;|l:e0d=83;pD?l:;|l:e0e=83;pD?l:;|l:e0b=83;pD?l:;|l:e0c=83;pD?l:;|l:e0`=83;pD?l:;|l:e36=83;pD?l:;|l:e37=83;pD?l:;|l:e34=83;pD?l:;|l:e35=83;pD?l:;|l:e32=83;pD?l:;|l:e33=83;pD?l:;|l:e30=83;pD?l:;|l:e31=83;pD?l:;|l:e3>=83;pD?l:;|l:e3?=83;pD?l:;|l:e3g=83;pD?l:;|l:e3d=83;pD?l:;|l:e3e=83;pD?l:;|l:e3b=83;pD?l:;|l:e3c=83;pD?l:;|l:e3`=83;pD?l:;|l:e26=83;pD?l:;|l:e27=83;pD?l:;|l:e24=83;pD?l:;|l:e25=83;pD?l:;|l:e22=83;pD?l:;|l:e23=83;pD?l:;|l:e20=83;pD?l:;|l:e21=83;pD?l:;|l:e2>=83;pD?l:;|l:e2?=83;pD?l:;|l:e2g=83;pD?l:;|l:e2d=83;pD?l:;|l:e2e=83;pD?l:;|l:e2b=83;pD?l:;|l:e2c=83;pD?l:;|l:e2`=83;pD?l:;|l:e=6=83;pD?l:;|l:e=7=83;pD?l:;|l:e=4=83;pD?l:;|l:e=5=83;pD?l:;|l:e=2=83;pD?l:;|l:e=3=83;pD?l:;|l:e=0=83;pD?l:;|l:e=1=83;pD?l:;|l:e=>=83;pD?l:;|l:e=?=83;pD?l:;|l:e=g=83;pD?l:;|l:e=d=83;pD?l:;|l:e=e=83;pD?l:;|l:e=b=83;pD?l:;|l:e=c=83;pD?l:;|l:e=`=83;pD?l:;|l:e<6=83;pD?l:;|l:e<7=83;pD?l:;|l:e<4=83;pD?l:;|l:e<5=83;pD?l:;|l:e<2=83;pD?l:;|l:e<3=83;pD?l:;|l:e<0=83;pD?l:;|l:e<1=83;pD?l:;|l:e<>=83;pD?l:;|l:e=83;pD?l:;|l:ed?=83;pD?l:;|l:edg=83;pD?l:;|l:edd=83;pD?l:;|l:ede=83;pD?l:;|l:edb=83;pD?l:;|l:edc=83;pD?l:;|l:ed`=83;pD?l:;|l:eg6=83;pD?l:;|l:eg7=83;pD?l:;|l:eg4=83;pD?l:;|l:eg5=83;pD?l:;|l:eg2=83;pD?l:;|l:eg3=83;pD?l:;|l:eg0=83;pD?l:;|l:eg1=83;pD?l:;|l:eg>=83;pD?l:;|l:eg?=83;pD?l:;|l:egg=83;pD?l:;|l:egd=83;pD?l:;|l:ege=83;pD?l:;|l:egb=83;pD?l:;|l:egc=83;pD?l:;|l:eg`=83;pD?l:;|l:ef6=83;pD?l:;|l:ef7=83;pD?l:;|l:ef4=83;pD?l:;|l:ef5=83;pD?l:;|l:ef2=83;pD?l:;|l:ef3=83;pD?l:;|l:ef0=83;pD?l:;|l:ef1=83;pD?l:;|l:ef>=83;pD?l:;|l:ef?=83;pD?l:;|l:efg=83;pD?l:;|l:efd=83;pD?l:;|l:efe=83;pD?l:;|l:efb=83;pD?l:;|l:efc=83;pD?l:;|l:ef`=83;pD?l:;|l:ea6=83;pD?l:;|l:ea7=83;pD?l:;|l:ea4=83;pD?l:;|l:ea5=83;pD?l:;|l:ea2=83;pD?l:;|l:ea3=83;pD?l:;|l:ea0=83;pD?l:;|l:ea1=83;pD?l:;|l:ea>=83;pD?l:;|l:ea?=83;pD?l:;|l:eag=83;pD?l:;|l:ead=83;pD?l:;|l:eae=83;pD?l:;|l:eab=83;pD?l:;|l:eac=83;pD?l:;|l:ea`=83;pD?l:;|l:e`6=83;pD?l:;|l:e`7=83;pD?l:;|l:e`4=83;pD?l:;|l:e`5=83;pD?l:;|l:e`2=83;pD?l:;|l:e`3=83;pD?l:;|l:e`0=83;pD?l:;|l:e`1=83;pD?l:;|l:e`>=83;pD?l:;|l:e`?=83;pD?l:;|l:e`g=83;pD?l:;|l:e`d=83;pD?l:;|l:e`e=83;pD?l:;|l:e`b=83;pD?l:;|l:e`c=83;pD?l:;|l:e``=83;pD?l:;|l:ec6=83;pD?l:;|l:ec7=83;pD?l:;|l:ec4=83;pD?l:;|l:ec5=83;pD?l:;|l:ec2=83;pD?l:;|l:ec3=83;pD?l:;|l:ec0=83;pD?l:;|l:ec1=83;pD?l:;|l:ec>=83;pD?l:;|l:ec?=83;pD?l:;|l:ecg=83;pD?l:;|l:ecd=83;pD?l:;|l:ece=83;pD?l:;|l:ecb=83;pD?l:;|l:ecc=83;pD?l:;|l:ec`=83;pD?l:;|l:f56=83;pD?l:;|l:f57=83;pD?l:;|l:f54=83;pD?l:;|l:f55=83;pD?l:;|l:f52=83;pD?l:;|l:f53=83;pD?l:;|l:f50=83;pD?l:;|l:f51=83;pD?l:;|l:f5>=83;pD?l:;|l:f5?=83;pD?l:;|l:f5g=83;pD?l:;|l:f5d=83;pD?l:;|l:f5e=83;pD?l:;|l:f5b=83;pD?l:;|l:f5c=83;pD?l:;|l:f5`=83;pD?l:;|l:f46=83;pD?l:;|l:f47=83;pD?l:;|l:f44=83;pD?l:;|l:f45=83;pD?l:;|l:f42=83;pD?l:;|l:f43=83;pD?l:;|l:f40=83;pD?l:;|l:f41=83;pD?l:;|l:f4>=83;pD?l:;|l:f4?=83;pD?l:;|l:f4g=83;pD?l:;|l:f4d=83;pD?l:;|l:f4e=83;pD?l:;|l:f4b=83;pD?l:;|l:f4c=83;pD?l:;|l:f4`=83;pD?l:;|l:f76=83;pD?l:;|l:f77=83;pD?l:;|l:f74=83;pD?l:;|l:f75=83;pD?l:;|l:f72=83;pD?l:;|l:f73=83;pD?l:;|l:f70=83;pD?l:;|l:f71=83;pD?l:;|l:f7>=83;pD?l:;|l:f7?=83;pD?l:;|l:f7g=83;pD?l:;|l:f7d=83;pD?l:;|l:f7e=83;pD?l:;|l:f7b=83;pD?l:;|l:f7c=83;pD?l:;|l:f7`=83;pD?l:;|l:f66=83;pD?l:;|l:f67=83;pD?l:;|l:f64=83;pD?l:;|l:f65=83;pD?l:;|l:f62=83;pD?l:;|l:f63=83;pD?l:;|l:f60=83;pD?l:;|l:f61=83;pD?l:;|l:f6>=83;pD?l:;|l:f6?=83;pD?l:;|l:f6g=83;pD?l:;|l:f6d=83;pD?l:;|l:f6e=83;pD?l:;|l:f6b=83;pD?l:;|l:f6c=83;pD?l:;|l:f6`=83;pD?l:;|l:f16=83;pD?l:;|l:f17=83;pD?l:;|l:f14=83;pD?l:;|l:f15=83;pD?l:;|l:f12=83;pD?l:;|l:f13=83;pD?l:;|l:f10=83;pD?l:;|l:f11=83;pD?l:;|l:f1>=83;pD?l:;|l:f1?=83;pD?l:;|l:f1g=83;pD?l:;|l:f1d=83;pD?l:;|l:f1e=83;pD?l:;|l:f1b=83;pD?l:;|l:f1c=83;pD?l:;|l:f1`=83;pD?l:;|l:f06=83;pD?l:;|l:f07=83;pD?l:;|l:f04=83;pD?l:;|l:f05=83;pD?l:;|l:f02=83;pD?l:;|l:f03=83;pD?l:;|l:f00=83;pD?l:;|l:f01=83;pD?l:;|l:f0>=83;pD?l:;|l:f0?=83;pD?l:;|l:f0g=83;pD?l:;|l:f0d=83;pD?l:;|l:f0e=83;pD?l:;|l:f0b=83;pD?l:;|l:f0c=83;pD?l:;|l:f0`=83;pD?l:;|l:f36=83;pD?l:;|l:f37=83;pD?l:;|l:f34=83;pD?l:;|l:f35=83;pD?l:;|l:f32=83;pD?l:;|l:f33=83;pD?l:;|l:f30=83;pD?l:;|l:f31=83;pD?l:;|l:f3>=83;pD?l:;|l:f3?=83;pD?l:;|l:f3g=83;pD?l:;|l:f3d=83;pD?l:;|l:f3e=83;pD?l:;|l:f3b=83;pD?l:;|l:f3c=83;pD?l:;|l:f3`=83;pD?l:;|l:f26=83;pD?l:;|l:f27=83;pD?l:;|l:f24=83;pD?l:;|l:f25=83;pD?l:;|l:f22=83;pD?l:;|l:f23=83;pD?l:;|l:f20=83;pD?l:;|l:f21=83;pD?l:;|l:f2>=83;pD?l:;|l:f2?=83;pD?l:;|l:f2g=83;pD?l:;|l:f2d=83;pD?l:;|l:f2e=83;pD?l:;|l:f2b=83;pD?l:;|l:f2c=83;pD?l:;|l:f2`=83;pD?l:;|l:f=6=83;pD?l:;|l:f=7=83;pD?l:;|l:f=4=83;pD?l:;|l:f=5=83;pD?l:;|l:f=2=83;pD?l:;|l:f=3=83;pD?l:;|l:f=0=83;pD?l:;|l:f=1=83;pD?l:;|l:f=>=83;pD?l:;|l:f=?=83;pD?l:;|l:f=g=83;pD?l:;|l:f=d=83;pD?l:;|l:f=e=83;pD?l:;|l:f=b=83;pD?l:;|l:f=c=83;pD?l:;|l:f=`=83;pD?l:;|l:f<6=83;pD?l:;|l:f<7=83;pD?l:;|l:f<4=83;pD?l:;|l:f<5=83;pD?l:;|l:f<2=83;pD?l:;|l:f<3=83;pD?l:;|l:f<0=83;pD?l:;|l:f<1=83;pD?l:;|l:f<>=83;pD?l:;|l:f=83;pD?l:;|l:fd?=83;pD?l:;|l:fdg=83;pD?l:;|l:fdd=83;pD?l:;|l:fde=83;pD?l:;|l:fdb=83;pD?l:;|l:fdc=83;pD?l:;|l:fd`=83;pD?l:;|l:fg6=83;pD?l:;|l:fg7=83;pD?l:;|l:fg4=83;pD?l:;|l:fg5=83;pD?l:;|l:fg2=83;pD?l:;|l:fg3=83;pD?l:;|l:fg0=83;pD?l:;|l:fg1=83;pD?l:;|l:fg>=83;pD?l:;|l:fg?=83;pD?l:;|l:fgg=83;pD?l:;|l:fgd=83;pD?l:;|l:fge=83;pD?l:;|l:fgb=83;pD?l:;|l:fgc=83;pD?l:;|l:fg`=83;pD?l:;|l:ff6=83;pD?l:;|l:ff7=83;pD?l:;|l:ff4=83;pD?l:;|l:ff5=83;pD?l:;|l:ff2=83;pD?l:;|l:ff3=83;pD?l:;|l:ff0=83;pD?l:;|l:ff1=83;pD?l:;|l:ff>=83;pD?l:;|l:ff?=83;pD?l:;|l:ffg=83;pD?l:;|l:ffd=83;pD?l:;|l:ffe=83;pD?l:;|l:ffb=83;pD?l:;|l:ffc=83;pD?l:;|l:ff`=83;pD?l:;|l:fa6=83;pD?l:;|l:fa7=83;pD?l:;|l:fa4=83;pD?l:;|l:fa5=83;pD?l:;|l:fa2=83;pD?l:;|l:fa3=83;pD?l:;|l:fa0=83;pD?l:;|l:fa1=83;pD?l:;|l:fa>=83;pD?l:;|l:fa?=83;pD?l:;|l:fag=83;pD?l:;|l:fad=83;pD?l:;|l:fae=83;pD?l:;|l:fab=83;pD?l:;|l:fac=83;pD?l:;|l:fa`=83;pD?l:;|l:f`6=83;pD?l:;|l:f`7=83;pD?l:;|l:f`4=83;pD?l:;|l:f`5=83;pD?l:;|l:f`2=83;pD?l:;|l:f`3=83;pD?l:;|l:f`0=83;pD?l:;|l:f`1=83;pD?l:;|l:f`>=83;pD?l:;|l:f`?=83;pD?l:;|l:f`g=83;pD?l:;|l:f`d=83;pD?l:;|l:f`e=83;pD?l:;|l:f`b=83;pD?l:;|l:f`c=83;pD?l:;|l:f``=83;pD?l:;|l:fc6=83;pD?l:;|l:fc7=83;pD?l:;|l:fc4=83;pD?l:;|l:fc5=83;pD?l:;|l:fc2=83;pD?l:;|l:fc3=83;pD?l:;|l:fc0=83;pD?l:;|l:fc1=83;pD?l:;|l:fc>=83;pD?l:;|l:fc?=83;pD?l:;|l:fcg=83;pD?l:;|l:fcd=83;pD?l:;|l:fce=83;pD?l:;|l:fcb=83;pD?l:;|l:fcc=83;pD?l:;|l:fc`=83;pD?l:;|l:g56=83;pD?l:;|l:g57=83;pD?l:;|l:g54=83;pD?l:;|l:g55=83;pD?l:;|l:g52=83;pD?l:;|l:g53=83;pD?l:;|l:g50=83;pD?l:;|l:g51=83;pD?l:;|l:g5>=83;pD?l:;|l:g5?=83;pD?l:;|l:g5g=83;pD?l:;|l:g5d=83;pD?l:;|l:g5e=83;pD?l:;|l:g5b=83;pD?l:;|l:g5c=83;pD?l:;|l:g5`=83;pD?l:;|l:g46=83;pD?l:;|l:g47=83;pD?l:;|l:g44=83;pD?l:;|l:g45=83;pD?l:;|l:g42=83;pD?l:;|l:g43=83;pD?l:;|l:g40=83;pD?l:;|l:g41=83;pD?l:;|l:g4>=83;pD?l:;|l:g4?=83;pD?l:;|l:g4g=83;pD?l:;|l:g4d=83;pD?l:;|l:g4e=83;pD?l:;|l:g4b=83;pD?l:;|l:g4c=83;pD?l:;|l:g4`=83;pD?l:;|l:g76=83;pD?l:;|l:g77=83;pD?l:;|l:g74=83;pD?l:;|l:g75=83;pD?l:;|l:g72=83;pD?l:;|l:g73=83;pD?l:;|l:g70=83;pD?l:;|l:g71=83;pD?l:;|l:g7>=83;pD?l:;|l:g7?=83;pD?l:;|l:g7g=83;pD?l:;|l:g7d=83;pD?l:;|l:g7e=83;pD?l:;|l:g7b=83;pD?l:;|l:g7c=83;pD?l:;|l:g7`=83;pD?l:;|l:g66=83;pD?l:;|l:g67=83;pD?l:;|l:g64=83;pD?l:;|l:g65=83;pD?l:;|l:g62=83;pD?l:;|l:g63=83;pD?l:;|l:g60=83;pD?l:;|l:g61=83;pD?l:;|l:g6>=83;pD?l:;|l:g6?=83;pD?l:;|l:g6g=83;pD?l:;|l:g6d=83;pD?l:;|l:g6e=83;pD?l:;|l:g6b=83;pD?l:;|l:g6c=83;pD?l:;|l:g6`=83;pD?l:;|l:g16=83;pD?l:;|l:g17=83;pD?l:;|l:g14=83;pD?l:;|l:g15=83;pD?l:;|l:g12=83;pD?l:;|l:g13=83;pD?l:;|l:g10=83;pD?l:;|l:g11=83;pD?l:;|l:g1>=83;pD?l:;|l:g1?=83;pD?l:;|l:g1g=83;pD?l:;|l:g1d=83;pD?l:;|l:g1e=83;pD?l:;|l:g1b=83;pD?l:;|l:g1c=83;pD?l:;|l:g1`=83;pD?l:;|l:g06=83;pD?l:;|l:g07=83;pD?l:;|l:g04=83;pD?l:;|l:g05=83;pD?l:;|l:g02=83;pD?l:;|l:g03=83;pD?l:;|l:g00=83;pD?l:;|l:g01=83;pD?l:;|l:g0>=83;pD?l:;|l:g0?=83;pD?l:;|l:g0g=83;pD?l:;|l:g0d=83;pD?l:;|l:g0e=83;pD?l:;|l:g0b=83;pD?l:;|l:g0c=83;pD?l:;|l:g0`=83;pD?l:;|l:g36=83;pD?l:;|l:g37=83;pD?l:;|l:g34=83;pD?l:;|l:g35=83;pD?l:;|l:g32=83;pD?l:;|l:g33=83;pD?l:;|l:g30=83;pD?l:;|l:g31=83;pD?l:;|l:g3>=83;pD?l:;|l:g3?=83;pD?l:;|l:g3g=83;pD?l:;|l:g3d=83;pD?l:;|l:g3e=83;pD?l:;|l:g3b=83;pD?l:;|l:g3c=83;pD?l:;|l:g3`=83;pD?l:;|l:g26=83;pD?l:;|l:g27=83;pD?l:;|l:g24=83;pD?l:;|l:g25=83;pD?l:;|l:g22=83;pD?l:;|l:g23=83;pD?l:;|l:g20=83;pD?l:;|l:g21=83;pD?l:;|l:g2>=83;pD?l:;|l:g2?=83;pD?l:;|l:g2g=83;pD?l:;|l:g2d=83;pD?l:;|l:g2e=83;pD?l:;|l:g2b=83;pD?l:;|l:g2c=83;pD?l:;|l:g2`=83;pD?l:;|l:g=6=83;pD?l:;|l:g=7=83;pD?l:;|l:g=4=83;pD?l:;|l:g=5=83;pD?l:;|l:g=2=83;pD?l:;|l:g=3=83;pD?l:;|l:g=0=83;pD?l:;|l:g=1=83;pD?l:;|l:g=>=83;pD?l:;|l:g=?=83;pD?l:;|l:g=g=83;pD?l:;|l:g=d=83;pD?l:;|l:g=e=83;pD?l:;|l:g=b=83;pD?l:;|l:g=c=83;pD?l:;|l:g=`=83;pD?l:;|l:g<6=83;pD?l:;|l:g<7=83;pD?l:;|l:g<4=83;pD?l:;|l:g<5=83;pD?l:;|l:g<2=83;pD?l:;|l:g<3=83;pD?l:;|l:g<0=83;pD?l:;|l:g<1=83;pD?l:;|l:g<>=83;pD?l:;|l:g=83;pD?l:;|l:gd?=83;pD?l:;|l:gdg=83;pD?l:;|l:gdd=83;pD?l:;|l:gde=83;pD?l:;|l:gdb=83;pD?l:;|l:gdc=83;pD?l:;|l:gd`=83;pD?l:;|l:gg6=83;pD?l:;|l:gg7=83;pD?l:;|l:gg4=83;pD?l:;|l:gg5=83;pD?l:;|l:gg2=83;pD?l:;|l:gg3=83;pD?l:;|l:gg0=83;pD?l:;|l:gg1=83;pD?l:;|l:gg>=83;pD?l:;|l:gg?=83;pD?l:;|l:ggg=83;pD?l:;|l:ggd=83;pD?l:;|l:gge=83;pD?l:;|l:ggb=83;pD?l:;|l:ggc=83;pD?l:;|l:gg`=83;pD?l:;|l:gf6=83;pD?l:;|l:gf7=83;pD?l:;|l:gf4=83;pD?l:;|l:gf5=83;pD?l:;|l:gf2=83;pD?l:;|l:gf3=83;pD?l:;|l:gf0=83;pD?l:;|l:gf1=83;pD?l:;|l:gf>=83;pD?l:;|l:gf?=83;pD?l:;|l:gfg=83;pD?l:;|l:gfd=83;pD?l:;|l:gfe=83;pD?l:;|l:gfb=83;pD?l:;|l:gfc=83;pD?l:;|l:gf`=83;pD?l:;|l:ga6=83;pD?l:;|l:ga7=83;pD?l:;|l:ga4=83;pD?l:;|l:ga5=83;pD?l:;|l:ga2=83;pD?l:;|l:ga3=83;pD?l:;|l:ga0=83;pD?l:;|l:ga1=83;pD?l:;|l:ga>=83;pD?l:;|l:ga?=83;pD?l:;|l:gag=83;pD?l:;|l:gad=83;pD?l:;|l:gae=83;pD?l:;|l:gab=83;pD?l:;|l:gac=83;pD?l:;|l:ga`=83;pD?l:;|l:g`6=83;pD?l:;|l:g`7=83;pD?l:;|l:g`4=83;pD?l:;|l:g`5=83;pD?l:;|l:g`2=83;pD?l:;|l:g`3=83;pD?l:;|l:g`0=83;pD?l:;|l:g`1=83;pD?l:;|l:g`>=83;pD?l:;|l:g`?=83;pD?l:;|l:g`g=83;pD?l:;|l:g`d=83;pD?l:;|l:g`e=83;pD?l:;|l:g`b=83;pD?l:;|l:g`c=83;pD?l:;|l:g``=83;pD?l:;|l:gc6=83;pD?l:;|l:gc7=83;pD?l:;|l:gc4=83;pD?l:;|l:gc5=83;pD?l:;|l:gc2=83;pD?l:;|l:gc3=83;pD?l:;|l:gc0=83;pD?l:;|l:gc1=83;pD?l:;|l:gc>=83;pD?l:;|l:gc?=83;pD?l:;|l:gcg=83;pD?l:;|l:gcd=83;pD?l:;|l:gce=83;pD?l:;|l:gcb=83;pD?l:;|l:gcc=83;pD?l:;|l:gc`=83;pD?l:;|l:`56=83;pD?l:;|l:`57=83;pD?l:;|l:`54=83;pD?l:;|l:`55=83;pD?l:;|l:`52=83;pD?l:;|l:`53=83;pD?l:;|l:`50=83;pD?l:;|l:`51=83;pD?l:;|l:`5>=83;pD?l:;|l:`5?=83;pD?l:;|l:`5g=83;pD?l:;|l:`5d=83;pD?l:;|l:`5e=83;pD?l:;|l:`5b=83;pD?l:;|l:`5c=83;pD?l:;|l:`5`=83;pD?l:;|l:`46=83;pD?l:;|l:`47=83;pD?l:;|l:`44=83;pD?l:;|l:`45=83;pD?l:;|l:`42=83;pD?l:;|l:`43=83;pD?l:;|l:`40=83;pD?l:;|l:`41=83;pD?l:;|l:`4>=83;pD?l:;|l:`4?=83;pD?l:;|l:`4g=83;pD?l:;|l:`4d=83;pD?l:;|l:`4e=83;pD?l:;|l:`4b=83;pD?l:;|l:`4c=83;pD?l:;|l:`4`=83;pD?l:;|l:`76=83;pD?l:;|l:`77=83;pD?l:;|l:`74=83;pD?l:;|l:`75=83;pD?l:;|l:`72=83;pD?l:;|l:`73=83;pD?l:;|l:`70=83;pD?l:;|l:`71=83;pD?l:;|l:`7>=83;pD?l:;|l:`7?=83;pD?l:;|l:`7g=83;pD?l:;|l:`7d=83;pD?l:;|l:`7e=83;pD?l:;|l:`7b=83;pD?l:;|l:`7c=83;pD?l:;|l:`7`=83;pD?l:;|l:`66=83;pD?l:;|l:`67=83;pD?l:;|l:`64=83;pD?l:;|l:`65=83;pD?l:;|l:`62=83;pD?l:;|l:`63=83;pD?l:;|l:`60=83;pD?l:;|l:`61=83;pD?l:;|l:`6>=83;pD?l:;|l:`6?=83;pD?l:;|l:`6g=83;pD?l:;|l:`6d=83;pD?l:;|l:`6e=83;pD?l:;|l:`6b=83;pD?l:;|l:`6c=83;pD?l:;|l:`6`=83;pD?l:;|l:`16=83;pD?l:;|l:`17=83;pD?l:;|l:`14=83;pD?l:;|l:`15=83;pD?l:;|l:`12=83;pD?l:;|l:`13=83;pD?l:;|l:`10=83;pD?l:;|l:`11=83;pD?l:;|l:`1>=83;pD?l:;|l:`1?=83;pD?l:;|l:`1g=83;pD?l:;|l:`1d=83;pD?l:;|l:`1e=83;pD?l:;|l:`1b=83;pD?l:;|l:`1c=83;pD?l:;|l:`1`=83;pD?l:;|l:`06=83;pD?l:;|l:`07=83;pD?l:;|l:`04=83;pD?l:;|l:`05=83;pD?l:;|l:`02=83;pD?l:;|l:`03=83;pD?l:;|l:`00=83;pD?l:;|l:`01=83;pD?l:;|l:`0>=83;pD?l:;|l:`0?=83;pD?l:;|l:`0g=83;pD?l:;|l:`0d=83;pD?l:;|l:`0e=83;pD?l:;|l:`0b=83;pD?l:;|l:`0c=83;pD?l:;|l:`0`=83;pD?l:;|l:`36=83;pD?l:;|l:`37=83;pD?l:;|l:`34=83;pD?l:;|l:`35=83;pD?l:;|l:`32=83;pD?l:;|l:`33=83;pD?l:;|l:`30=83;pD?l:;|l:`31=83;pD?l:;|l:`3>=83;pD?l:;|l:`3?=83;pD?l:;|l:`3g=83;pD?l:;|l:`3d=83;pD?l:;|l:`3e=83;pD?l:;|l:`3b=83;pD?l:;|l:`3c=83;pD?l:;|l:`3`=83;pD?l:;|l:`26=83;pD?l:;|l:`27=83;pD?l:;|l:`24=83;pD?l:;|l:`25=83;pD?l:;|l:`22=83;pD?l:;|l:`23=83;pD?l:;|l:`20=83;pD?l:;|l:`21=83;pD?l:;|l:`2>=83;pD?l:;|l:`2?=83;pD?l:;|l:`2g=83;pD?l:;|l:`2d=83;pD?l:;|l:`2e=83;pD?l:;|l:`2b=83;pD?l:;|l:`2c=83;pD?l:;|l:`2`=83;pD?l:;|l:`=6=83;pD?l:;|l:`=7=83;pD?l:;|l:`=4=83;pD?l:;|l:`=5=83;pD?l:;|l:`=2=83;pD?l:;|l:`=3=83;pD?l:;|l:`=0=83;pD?l:;|l:`=1=83;pD?l:;|l:`=>=83;pD?l:;|l:`=?=83;pD?l:;|l:`=g=83;pD?l:;|l:`=d=83;pD?l:;|l:`=e=83;pD?l:;|l:`=b=83;pD?l:;|l:`=c=83;pD?l:;|l:`=`=83;pD?l:;|l:`<6=83;pD?l:;|l:`<7=83;pD?l:;|l:`<4=83;pD?l:;|l:`<5=83;pD?l:;|l:`<2=83;pD?l:;|l:`<3=83;pD?l:;|l:`<0=83;pD?l:;|l:`<1=83;pD?l:;|l:`<>=83;pD?l:;|l:`=83;pD?l:;|l:`d?=83;pD?l:;|l:`dg=83;pD?l:;|l:`dd=83;pD?l:;|l:`de=83;pD?l:;|l:`db=83;pD?l:;|l:`dc=83;pD?l:;|l:`d`=83;pD?l:;|l:`g6=83;pD?l:;|l:`g7=83;pD?l:;|l:`g4=83;pD?l:;|l:`g5=83;pD?l:;|l:`g2=83;pD?l:;|l:`g3=83;pD?l:;|l:`g0=83;pD?l:;|l:`g1=83;pD?l:;|l:`g>=83;pD?l:;|l:`g?=83;pD?l:;|l:`gg=83;pD?l:;|l:`gd=83;pD?l:;|l:`ge=83;pD?l:;|l:`gb=83;pD?l:;|l:`gc=83;pD?l:;|l:`g`=83;pD?l:;|l:`f6=83;pD?l:;|l:`f7=83;pD?l:;|l:`f4=83;pD?l:;|l:`f5=83;pD?l:;|l:`f2=83;pD?l:;|l:`f3=83;pD?l:;|l:`f0=83;pD?l:;|l:`f1=83;pD?l:;|l:`f>=83;pD?l:;|l:`f?=83;pD?l:;|l:`fg=83;pD?l:;|l:`fd=83;pD?l:;|l:`fe=83;pD?l:;|l:`fb=83;pD?l:;|l:`fc=83;pD?l:;|l:`f`=83;pD?l:;|l:`a6=83;pD?l:;|l:`a7=83;pD?l:;|l:`a4=83;pD?l:;|l:`a5=83;pD?l:;|l:`a2=83;pD?l:;|l:`a3=83;pD?l:;|l:`a0=83;pD?l:;|l:`a1=83;pD?l:;|l:`a>=83;pD?l:;|l:`a?=83;pD?l:;|l:`ag=83;pD?l:;|l:`ad=83;pD?l:;|l:`ae=83;pD?l:;|l:`ab=83;pD?l:;|l:`ac=83;pD?l:;|l:`a`=83;pD?l:;|l:``6=83;pD?l:;|l:``7=83;pD?l:;|l:``4=83;pD?l:;|l:``5=83;pD?l:;|l:``2=83;pD?l:;|l:``3=83;pD?l:;|l:``0=83;pD?l:;|l:``1=83;pD?l:;|l:``>=83;pD?l:;|l:``?=83;pD?l:;|l:``g=83;pD?l:;|l:``d=83;pD?l:;|l:``e=83;pD?l:;|l:``b=83;pD?l:;|l:``c=83;pD?l:;|l:```=83;pD?l:;|l:`c6=83;pD?l:;|l:`c7=83;pD?l:;|l:`c4=83;pD?l:;|l:`c5=83;pD?l:;|l:`c2=83;pD?l:;|l:`c3=83;pD?l:;|l:`c0=83;pD?l:;|l:`c1=83;pD?l:;|l:`c>=83;pD?l:;|l:`c?=83;pD?l:;|l:`cg=83;pD?l:;|l:`cd=83;pD?l:;|l:`ce=83;pD?l:;|l:`cb=83;pD?l:;|l:`cc=83;pD?l:;|l:`c`=83;pD?l:;|l:a56=83;pD?l:;|l:a57=83;pD?l:;|l:a54=83;pD?l:;|l:a55=83;pD?l:;|l:a52=83;pD?l:;|l:a53=83;pD?l:;|l:a50=83;pD?l:;|l:a51=83;pD?l:;|l:a5>=83;pD?l:;|l:a5?=83;pD?l:;|l:a5g=83;pD?l:;|l:a5d=83;pD?l:;|l:a5e=83;pD?l:;|l:a5b=83;pD?l:;|l:a5c=83;pD?l:;|l:a5`=83;pD?l:;|l:a46=83;pD?l:;|l:a47=83;pD?l:;|l:a44=83;pD?l:;|l:a45=83;pD?l:;|l:a42=83;pD?l:;|l:a43=83;pD?l:;|l:a40=83;pD?l:;|l:a41=83;pD?l:;|l:a4>=83;pD?l:;|l:a4?=83;pD?l:;|l:a4g=83;pD?l:;|l:a4d=83;pD?l:;|l:a4e=83;pD?l:;|l:a4b=83;pD?l:;|l:a4c=83;pD?l:;|l:a4`=83;pD?l:;|l:a76=83;pD?l:;|l:a77=83;pD?l:;|l:a74=83;pD?l:;|l:a75=83;pD?l:;|l:a72=83;pD?l:;|l:a73=83;pD?l:;|l:a70=83;pD?l:;|l:a71=83;pD?l:;|l:a7>=83;pD?l:;|l:a7?=83;pD?l:;|l:a7g=83;pD?l:;|l:a7d=83;pD?l:;|l:a7e=83;pD?l:;|l:a7b=83;pD?l:;|l:a7c=83;pD?l:;|l:a7`=83;pD?l:;|l:a66=83;pD?l:;|l:a67=83;pD?l:;|l:a64=83;pD?l:;|l:a65=83;pD?l:;|l:a62=83;pD?l:;|l:a63=83;pD?l:;|l:a60=83;pD?l:;|l:a61=83;pD?l:;|l:a6>=83;pD?l:;|l:a6?=83;pD?l:;|l:a6g=83;pD?l:;|l:a6d=83;pD?l:;|l:a6e=83;pD?l:;|l:a6b=83;pD?l:;|l:a6c=83;pD?l:;|l:a6`=83;pD?l:;|l:a16=83;pD?l:;|l:a17=83;pD?l:;|l:a14=83;pD?l:;|l:a15=83;pD?l:;|l:a12=83;pD?l:;|l:a13=83;pD?l:;|l:a10=83;pD?l:;|l:a11=83;pD?l:;|l:a1>=83;pD?l:;|l:a1?=83;pD?l:;|l:a1g=83;pD?l:;|l:a1d=83;pD?l:;|l:a1e=83;pD?l:;|l:a1b=83;pD?l:;|l:a1c=83;pD?l:;|l:a1`=83;pD?l:;|l:a06=83;pD?l:;|l:a07=83;pD?l:;|l:a04=83;pD?l:;|l:a05=83;pD?l:;|l:a02=83;pD?l:;|l:a03=83;pD?l:;|l:a00=83;pD?l:;|l:a01=83;pD?l:;|l:a0>=83;pD?l:;|l:a0?=83;pD?l:;|l:a0g=83;pD?l:;|l:a0d=83;pD?l:;|l:a0e=83;pD?l:;|l:a0b=83;pD?l:;|l:a0c=83;pD?l:;|l:a0`=83;pD?l:;|l:a36=83;pD?l:;|l:a37=83;pD?l:;|l:a34=83;pD?l:;|l:a35=83;pD?l:;|l:a32=83;pD?l:;|l:a33=83;pD?l:;|l:a30=83;pD?l:;|l:a31=83;pD?l:;|l:a3>=83;pD?l:;|l:a3?=83;pD?l:;|l:a3g=83;pD?l:;|l:a3d=83;pD?l:;|l:a3e=83;pD?l:;|l:a3b=83;pD?l:;|l:a3c=83;pD?l:;|l:a3`=83;pD?l:;|l:a26=83;pD?l:;|l:a27=83;pD?l:;|l:a24=83;pD?l:;|l:a25=83;pD?l:;|l:a22=83;pD?l:;|l:a23=83;pD?l:;|l:a20=83;pD?l:;|l:a21=83;pD?l:;|l:a2>=83;pD?l:;|l:a2?=83;pD?l:;|l:a2g=83;pD?l:;|l:a2d=83;pD?l:;|l:a2e=83;pD?l:;|l:a2b=83;pD?l:;|l:a2c=83;pD?l:;|l:a2`=83;pD?l:;|l:a=6=83;pD?l:;|l:a=7=83;pD?l:;|l:a=4=83;pD?l:;|l:a=5=83;pD?l:;|l:a=2=83;pD?l:;|l:a=3=83;pD?l:;|l:a=0=83;pD?l:;|l:a=1=83;pD?l:;|l:a=>=83;pD?l:;|l:a=?=83;pD?l:;|l:a=g=83;pD?l:;|l:a=d=83;pD?l:;|l:a=e=83;pD?l:;|l:a=b=83;pD?l:;|l:a=c=83;pD?l:;|l:a=`=83;pD?l:;|l:a<6=83;pD?l:;|l:a<7=83;pD?l:;|l:a<4=83;pD?l:;|l:a<5=83;pD?l:;|l:a<2=83;pD?l:;|l:a<3=83;pD?l:;|l:a<0=83;pD?l:;|l:a<1=83;pD?l:;|l:a<>=83;pD?l:;|l:a=83;pD?l:;|l:ad?=83;pD?l:;|l:adg=83;pD?l:;|l:add=83;pD?l:;|l:ade=83;pD?l:;|l:adb=83;pD?l:;|l:adc=83;pD?l:;|l:ad`=83;pD?l:;|l:ag6=83;pD?l:;|l:ag7=83;pD?l:;|l:ag4=83;pD?l:;|l:ag5=83;pD?l:;|l:ag2=83;pD?l:;|l:ag3=83;pD?l:;|l:ag0=83;pD?l:;|l:ag1=83;pD?l:;|l:ag>=83;pD?l:;|l:ag?=83;pD?l:;|l:agg=83;pD?l:;|l:agd=83;pD?l:;|l:age=83;pD?l:;|l:agb=83;pD?l:;|l:agc=83;pD?l:;|l:ag`=83;pD?l:;|l:af6=83;pD?l:;|l:af7=83;pD?l:;|l:af4=83;pD?l:;|l:af5=83;pD?l:;|l:af2=83;pD?l:;|l:af3=83;pD?l:;|l:af0=83;pD?l:;|l:af1=83;pD?l:;|l:af>=83;pD?l:;|l:af?=83;pD?l:;|l:afg=83;pD?l:;|l:afd=83;pD?l:;|l:afe=83;pD?l:;|l:afb=83;pD?l:;|l:afc=83;pD?l:;|l:af`=83;pD?l:;|l:aa6=83;pD?l:;|l:aa7=83;pD?l:;|l:aa4=83;pD?l:;|l:aa5=83;pD?l:;|l:aa2=83;pD?l:;|l:aa3=83;pD?l:;|l:aa0=83;pD?l:;|l:aa1=83;pD?l:;|l:aa>=83;pD?l:;|l:aa?=83;pD?l:;|l:aag=83;pD?l:;|l:aad=83;pD?l:;|l:aae=83;pD?l:;|l:aab=83;pD?l:;|l:aac=83;pD?l:;|l:aa`=83;pD?l:;|l:a`6=83;pD?l:;|l:a`7=83;pD?l:;|l:a`4=83;pD?l:;|l:a`5=83;pD?l:;|l:a`2=83;pD?l:;|l:a`3=83;pD?l:;|l:a`0=83;pD?l:;|l:a`1=83;pD?l:;|l:a`>=83;pD?l:;|l:a`?=83;pD?l:;|l:a`g=83;pD?l:;|l:a`d=83;pD?l:;|l:a`e=83;pD?l:;|l:a`b=83;pD?l:;|l:a`c=83;pD?l:;|l:a``=83;pD?l:;|l:ac6=83;pD?l:;|l:ac7=83;pD?l:;|l:ac4=83;pD?l:;|l:ac5=83;pD?l:;|l:ac2=83;pD?l:;|l:ac3=83;pD?l:;|l:ac0=83;pD?l:;|l:ac1=83;pD?l:;|l:ac>=83;pD?l:;|l:ac?=83;pD?l:;|l:acg=83;pD?l:;|l:acd=83;pD?l:;|l:ace=83;pD?l:;|l:acb=83;pD?l:;|l:acc=83;pD?l:;|l:ac`=83;pD?l:;|l:b56=83;pD?l:;|l:b57=83;pD?l:;|l:b54=83;pD?l:;|l:b55=83;pD?l:;|l:b52=83;pD?l:;|l:b53=83;pD?l:;|l:b50=83;pD?l:;|l:b51=83;pD?l:;|l:b5>=83;pD?l:;|l:b5?=83;pD?l:;|l:b5g=83;pD?l:;|l:b5d=83;pD?l:;|l:b5e=83;pD?l:;|l:b5b=83;pD?l:;|l:b5c=83;pD?l:;|l:b5`=83;pD?l:;|l:b46=83;pD?l:;|l:b47=83;pD?l:;|l:b44=83;pD?l:;|l:b45=83;pD?l:;|l:b42=83;pD?l:;|l:b43=83;pD?l:;|l:b40=83;pD?l:;|l:b41=83;pD?l:;|l:b4>=83;pD?l:;|l:b4?=83;pD?l:;|l:b4g=83;pD?l:;|l:b4d=83;pD?l:;|l:b4e=83;pD?l:;|l:b4b=83;pD?l:;|l:b4c=83;pD?l:;|l:b4`=83;pD?l:;|l:b76=83;pD?l:;|l:b77=83;pD?l:;|l:b74=83;pD?l:;|l:b75=83;pD?l:;|l:b72=83;pD?l:;|l:b73=83;pD?l:;|l:b70=83;pD?l:;|l:b71=83;pD?l:;|l:b7>=83;pD?l:;|l:b7?=83;pD?l:;|l:b7g=83;pD?l:;|l:b7d=83;pD?l:;|l:b7e=83;pD?l:;|l:b7b=83;pD?l:;|l:b7c=83;pD?l:;|l:b7`=83;pD?l:;|l:b66=83;pD?l:;|l:b67=83;pD?l:;|l:b64=83;pD?l:;|l:b65=83;pD?l:;|l:b62=83;pD?l:;|l:b63=83;pD?l:;|l:b60=83;pD?l:;|l:b61=83;pD?l:;|l:b6>=83;pD?l:;|l:b6?=83;pD?l:;|l:b6g=83;pD?l:;|l:b6d=83;pD?l:;|l:b6e=83;pD?l:;|l:b6b=83;pD?l:;|l:b6c=83;pD?l:;|l:b6`=83;pD?l:;|l:b16=83;pD?l:;|l:b17=83;pD?l:;|l:b14=83;pD?l:;|l:b15=83;pD?l:;|l:b12=83;pD?l:;|l:b13=83;pD?l:;|l:b10=83;pD?l:;|l:b11=83;pD?l:;|l:b1>=83;pD?l:;|l:b1?=83;pD?l:;|l:b1g=83;pD?l:;|l:b1d=83;pD?l:;|l:b1e=83;pD?l:;|l:b1b=83;pD?l:;|l:b1c=83;pD?l:;|l:b1`=83;pD?l:;|l:b06=83;pD?l:;|l:b07=83;pD?l:;|l:b04=83;pD?l:;|l:b05=83;pD?l:;|l:b02=83;pD?l:;|l:b03=83;pD?l:;|l:b00=83;pD?l:;|l:b01=83;pD?l:;|l:b0>=83;pD?l:;|l:b0?=83;pD?l:;|l:b0g=83;pD?l:;|l:b0d=83;pD?l:;|l:b0e=83;pD?l:;|l:b0b=83;pD?l:;|l:b0c=83;pD?l:;|l:b0`=83;pD?l:;|l:b36=83;pD?l:;|l:b37=83;pD?l:;|l:b34=83;pD?l:;|l:b35=83;pD?l:;|l:b32=83;pD?l:;|l:b33=83;pD?l:;|l:b30=83;pD?l:;|l:b31=83;pD?l:;|l:b3>=83;pD?l:;|l:b3?=83;pD?l:;|l:b3g=83;pD?l:;|l:b3d=83;pD?l:;|l:b3e=83;pD?l:;|l:b3b=83;pD?l:;|l:b3c=83;pD?l:;|l:b3`=83;pD?l:;|l:b26=83;pD?l:;|l:b27=83;pD?l:;|l:b24=83;pD?l:;|l:b25=83;pD?l:;|l:b22=83;pD?l:;|l:b23=83;pD?l:;|l:b20=83;pD?l:;|l:b21=83;pD?l:;|l:b2>=83;pD?l:;|l:b2?=83;pD?l:;|l:b2g=83;pD?l:;|l:b2d=83;pD?l:;|l:b2e=83;pD?l:;|l:b2b=83;pD?l:;|l:b2c=83;pD?l:;|l:b2`=83;pD?l:;|l:b=6=83;pD?l:;|l:b=7=83;pD?l:;|l:b=4=83;pD?l:;|l:b=5=83;pD?l:;|l:b=2=83;pD?l:;|l:b=3=83;pD?l:;|l:b=0=83;pD?l:;|l:b=1=83;pD?l:;|l:b=>=83;pD?l:;|l:b=?=83;pD?l:;|l:b=g=83;pD?l:;|l:b=d=83;pD?l:;|l:b=e=83;pD?l:;|l:b=b=83;pD?l:;|l:b=c=83;pD?l:;|l:b=`=83;pD?l:;|l:b<6=83;pD?l:;|l:b<7=83;pD?l:;|l:b<4=83;pD?l:;|l:b<5=83;pD?l:;|l:b<2=83;pD?l:;|l:b<3=83;pD?l:;|l:b<0=83;pD?l:;|l:b<1=83;pD?l:;|l:b<>=83;pD?l:;|l:b=83;pD?l:;|l:bd?=83;pD?l:;|l:bdg=83;pD?l:;|l:bdd=83;pD?l:;|l:bde=83;pD?l:;|l:bdb=83;pD?l:;|l:bdc=83;pD?l:;|l:bd`=83;pD?l:;|l:bg6=83;pD?l:;|l:bg7=83;pD?l:;|l:bg4=83;pD?l:;|l:bg5=83;pD?l:;|l:bg2=83;pD?l:;|l:bg3=83;pD?l:;|l:bg0=83;pD?l:;|l:bg1=83;pD?l:;|l:bg>=83;pD?l:;|l:bg?=83;pD?l:;|l:bgg=83;pD?l:;|l:bgd=83;pD?l:;|l:bge=83;pD?l:;|l:bgb=83;pD?l:;|l:bgc=83;pD?l:;|l:bg`=83;pD?l:;|l:bf6=83;pD?l:;|l:bf7=83;pD?l:;|l:bf4=83;pD?l:;|l:bf5=83;pD?l:;|l:bf2=83;pD?l:;|l:bf3=83;pD?l:;|l:bf0=83;pD?l:;|l:bf1=83;pD?l:;|l:bf>=83;pD?l:;|l:bf?=83;pD?l:;|l:bfg=83;pD?l:;|l:bfd=83;pD?l:;|l:bfe=83;pD?l:;|l:bfb=83;pD?l:;|l:bfc=83;pD?l:;|l:bf`=83;pD?l:;|l:ba6=83;pD?l:;|l:ba7=83;pD?l:;|l:ba4=83;pD?l:;|l:ba5=83;pD?l:;|l:ba2=83;pD?l:;|l:ba3=83;pD?l:;|l:ba0=83;pD?l:;|l:ba1=83;pD?l:;|l:ba>=83;pD?l:;|l:ba?=83;pD?l:;|l:bag=83;pD?l:;|l:bad=83;pD?l:;|l:bae=83;pD?l:;|l:bab=83;pD?l:;|l:bac=83;pD?l:;|l:ba`=83;pD?l:;|l:b`6=83;pD?l:;|l:b`7=83;pD?l:;|l:b`4=83;pD?l:;|l:b`5=83;pD?l:;|l:b`2=83;pD?l:;|l:b`3=83;pD?l:;|l:b`0=83;pD?l:;|l:b`1=83;pD?l:;|l:b`>=83;pD?l:;|l:b`?=83;pD?l:;|l:b`g=83;pD?l:;|l:b`d=83;pD?l:;|l:b`e=83;pD?l:;|l:b`b=83;pD?l:;|l:b`c=83;pD?l:;|l:b``=83;pD?l:;|l:bc6=83;pD?l:;|l:bc7=83;pD?l:;|l:bc4=83;pD?l:;|l:bc5=83;pD?l:;|l:bc2=83;pD?l:;|l:bc3=83;pD?l:;|l:bc0=83;pD?l:;|l:bc1=83;pD?l:;|l:bc>=83;pD?l:;|l:bc?=83;pD?l:;|l:bcg=83;pD?l:;|l:bcd=83;pD?l:;|l:bce=83;pD?l:;|l:bcb=83;pD?l:;|l:bcc=83;pD?l:;|l:bc`=83;pD?l:;|lb456=83;pD?l:;|lb457=83;pD?l:;|lb454=83;pD?l:;|lb455=83;pD?l:;|lb452=83;pD?l:;|lb453=83;pD?l:;|lb450=83;pD?l:;|lb451=83;pD?l:;|lb45>=83;pD?l:;|lb45?=83;pD?l:;|lb45g=83;pD?l:;|lb45d=83;pD?l:;|lb45e=83;pD?l:;|lb45b=83;pD?l:;|lb45c=83;pD?l:;|lb45`=83;pD?l:;|lb446=83;pD?l:;|lb447=83;pD?l:;|lb444=83;pD?l:;|lb445=83;pD?l:;|lb442=83;pD?l:;|lb443=83;pD?l:;|lb440=83;pD?l:;|lb441=83;pD?l:;|lb44>=83;pD?l:;|lb44?=83;pD?l:;|lb44g=83;pD?l:;|lb44d=83;pD?l:;|lb44e=83;pD?l:;|lb44b=83;pD?l:;|lb44c=83;pD?l:;|lb44`=83;pD?l:;|lb476=83;pD?l:;|lb477=83;pD?l:;|lb474=83;pD?l:;|lb475=83;pD?l:;|lb472=83;pD?l:;|lb473=83;pD?l:;|lb470=83;pD?l:;|lb471=83;pD?l:;|lb47>=83;pD?l:;|lb47?=83;pD?l:;|lb47g=83;pD?l:;|lb47d=83;pD?l:;|lb47e=83;pD?l:;|lb47b=83;pD?l:;|lb47c=83;pD?l:;|lb47`=83;pD?l:;|lb466=83;pD?l:;|lb467=83;pD?l:;|lb464=83;pD?l:;|lb465=83;pD?l:;|lb462=83;pD?l:;|lb463=83;pD?l:;|lb460=83;pD?l:;|lb461=83;pD?l:;|lb46>=83;pD?l:;|lb46?=83;pD?l:;|lb46g=83;pD?l:;|lb46d=83;pD?l:;|lb46e=83;pD?l:;|lb46b=83;pD?l:;|lb46c=83;pD?l:;|lb46`=83;pD?l:;|lb416=83;pD?l:;|lb417=83;pD?l:;|lb414=83;pD?l:;|lb415=83;pD?l:;|lb412=83;pD?l:;|lb413=83;pD?l:;|lb410=83;pD?l:;|lb411=83;pD?l:;|lb41>=83;pD?l:;|lb41?=83;pD?l:;|lb41g=83;pD?l:;|lb41d=83;pD?l:;|lb41e=83;pD?l:;|lb41b=83;pD?l:;|lb41c=83;pD?l:;|lb41`=83;pD?l:;|lb406=83;pD?l:;|lb407=83;pD?l:;|lb404=83;pD?l:;|lb405=83;pD?l:;|lb402=83;pD?l:;|lb403=83;pD?l:;|lb400=83;pD?l:;|lb401=83;pD?l:;|lb40>=83;pD?l:;|lb40?=83;pD?l:;|lb40g=83;pD?l:;|lb40d=83;pD?l:;|lb40e=83;pD?l:;|lb40b=83;pD?l:;|lb40c=83;pD?l:;|lb40`=83;pD?l:;|lb436=83;pD?l:;|lb437=83;pD?l:;|lb434=83;pD?l:;|lb435=83;pD?l:;|lb432=83;pD?l:;|lb433=83;pD?l:;|lb430=83;pD?l:;|lb431=83;pD?l:;|lb43>=83;pD?l:;|lb43?=83;pD?l:;|lb43g=83;pD?l:;|lb43d=83;pD?l:;|lb43e=83;pD?l:;|lb43b=83;pD?l:;|lb43c=83;pD?l:;|lb43`=83;pD?l:;|lb426=83;pD?l:;|lb427=83;pD?l:;|lb424=83;pD?l:;|lb425=83;pD?l:;|lb422=83;pD?l:;|lb423=83;pD?l:;|lb420=83;pD?l:;|lb421=83;pD?l:;|lb42>=83;pD?l:;|lb42?=83;pD?l:;|lb42g=83;pD?l:;|lb42d=83;pD?l:;|lb42e=83;pD?l:;|lb42b=83;pD?l:;|lb42c=83;pD?l:;|lb42`=83;pD?l:;|lb4=6=83;pD?l:;|lb4=7=83;pD?l:;|lb4=4=83;pD?l:;|lb4=5=83;pD?l:;|lb4=2=83;pD?l:;|lb4=3=83;pD?l:;|lb4=0=83;pD?l:;|lb4=1=83;pD?l:;|lb4=>=83;pD?l:;|lb4=?=83;pD?l:;|lb4=g=83;pD?l:;|lb4=d=83;pD?l:;|lb4=e=83;pD?l:;|lb4=b=83;pD?l:;|lb4=c=83;pD?l:;|lb4=`=83;pD?l:;|lb4<6=83;pD?l:;|lb4<7=83;pD?l:;|lb4<4=83;pD?l:;|lb4<5=83;pD?l:;|lb4<2=83;pD?l:;|lb4<3=83;pD?l:;|lb4<0=83;pD?l:;|lb4<1=83;pD?l:;|lb4<>=83;pD?l:;|lb4=83;pD?l:;|lb4d?=83;pD?l:;|lb4dg=83;pD?l:;|lb4dd=83;pD?l:;|lb4de=83;pD?l:;|lb4db=83;pD?l:;|lb4dc=83;pD?l:;|lb4d`=83;pD?l:;|lb4g6=83;pD?l:;|lb4g7=83;pD?l:;|lb4g4=83;pD?l:;|lb4g5=83;pD?l:;|lb4g2=83;pD?l:;|lb4g3=83;pD?l:;|lb4g0=83;pD?l:;|lb4g1=83;pD?l:;|lb4g>=83;pD?l:;|lb4g?=83;pD?l:;|lb4gg=83;pD?l:;|lb4gd=83;pD?l:;|lb4ge=83;pD?l:;|lb4gb=83;pD?l:;|lb4gc=83;pD?l:;|lb4g`=83;pD?l:;|lb4f6=83;pD?l:;|lb4f7=83;pD?l:;|lb4f4=83;pD?l:;|lb4f5=83;pD?l:;|lb4f2=83;pD?l:;|lb4f3=83;pD?l:;|lb4f0=83;pD?l:;|lb4f1=83;pD?l:;|lb4f>=83;pD?l:;|lb4f?=83;pD?l:;|lb4fg=83;pD?l:;|lb4fd=83;pD?l:;|lb4fe=83;pD?l:;|lb4fb=83;pD?l:;|lb4fc=83;pD?l:;|lb4f`=83;pD?l:;|lb4a6=83;pD?l:;|lb4a7=83;pD?l:;|lb4a4=83;pD?l:;|lb4a5=83;pD?l:;|lb4a2=83;pD?l:;|lb4a3=83;pD?l:;|lb4a0=83;pD?l:;|lb4a1=83;pD?l:;|lb4a>=83;pD?l:;|lb4a?=83;pD?l:;|lb4ag=83;pD?l:;|lb4ad=83;pD?l:;|lb4ae=83;pD?l:;|lb4ab=83;pD?l:;|lb4ac=83;pD?l:;|lb4a`=83;pD?l:;|lb4`6=83;pD?l:;|lb4`7=83;pD?l:;|lb4`4=83;pD?l:;|lb4`5=83;pD?l:;|lb4`2=83;pD?l:;|lb4`3=83;pD?l:;|lb4`0=83;pD?l:;|lb4`1=83;pD?l:;|lb4`>=83;pD?l:;|lb4`?=83;pD?l:;|lb4`g=83;pD?l:;|lb4`d=83;pD?l:;|lb4`e=83;pD?l:;|lb4`b=83;pD?l:;|lb4`c=83;pD?l:;|lb4``=83;pD?l:;|lb4c6=83;pD?l:;|lb4c7=83;pD?l:;|lb4c4=83;pD?l:;|lb4c5=83;pD?l:;|lb4c2=83;pD?l:;|lb4c3=83;pD?l:;|lb4c0=83;pD?l:;|lb4c1=83;pD?l:;|lb4c>=83;pD?l:;|lb4c?=83;pD?l:;|lb4cg=83;pD?l:;|lb4cd=83;pD?l:;|lb4ce=83;pD?l:;|lb4cb=83;pD?l:;|lb4cc=83;pD?l:;|lb4c`=83;pD?l:;|lb556=83;pD?l:;|lb557=83;pD?l:;|lb554=83;pD?l:;|lb555=83;pD?l:;|lb552=83;pD?l:;|lb553=83;pD?l:;|lb550=83;pD?l:;|lb551=83;pD?l:;|lb55>=83;pD?l:;|lb55?=83;pD?l:;|lb55g=83;pD?l:;|lb55d=83;pD?l:;|lb55e=83;pD?l:;|lb55b=83;pD?l:;|lb55c=83;pD?l:;|lb55`=83;pD?l:;|lb546=83;pD?l:;|lb547=83;pD?l:;|lb544=83;pD?l:;|lb545=83;pD?l:;|lb542=83;pD?l:;|lb543=83;pD?l:;|lb540=83;pD?l:;|lb541=83;pD?l:;|lb54>=83;pD?l:;|lb54?=83;pD?l:;|lb54g=83;pD?l:;|lb54d=83;pD?l:;|lb54e=83;pD?l:;|lb54b=83;pD?l:;|lb54c=83;pD?l:;|lb54`=83;pD?l:;|lb576=83;pD?l:;|lb577=83;pD?l:;|lb574=83;pD?l:;|lb575=83;pD?l:;|lb572=83;pD?l:;|lb573=83;pD?l:;|lb570=83;pD?l:;|lb571=83;pD?l:;|lb57>=83;pD?l:;|lb57?=83;pD?l:;|lb57g=83;pD?l:;|lb57d=83;pD?l:;|lb57e=83;pD?l:;|lb57b=83;pD?l:;|lb57c=83;pD?l:;|lb57`=83;pD?l:;|lb566=83;pD?l:;|lb567=83;pD?l:;|lb564=83;pD?l:;|lb565=83;pD?l:;|lb562=83;pD?l:;|lb563=83;pD?l:;|lb560=83;pD?l:;|lb561=83;pD?l:;|lb56>=83;pD?l:;|lb56?=83;pD?l:;|lb56g=83;pD?l:;|lb56d=83;pD?l:;|lb56e=83;pD?l:;|lb56b=83;pD?l:;|lb56c=83;pD?l:;|lb56`=83;pD?l:;|lb516=83;pD?l:;|lb517=83;pD?l:;|lb514=83;pD?l:;|lb515=83;pD?l:;|lb512=83;pD?l:;|lb513=83;pD?l:;|lb510=83;pD?l:;|lb511=83;pD?l:;|lb51>=83;pD?l:;|lb51?=83;pD?l:;|lb51g=83;pD?l:;|lb51d=83;pD?l:;|lb51e=83;pD?l:;|lb51b=83;pD?l:;|lb51c=83;pD?l:;|lb51`=83;pD?l:;|lb506=83;pD?l:;|lb507=83;pD?l:;|lb504=83;pD?l:;|lb505=83;pD?l:;|lb502=83;pD?l:;|lb503=83;pD?l:;|lb500=83;pD?l:;|lb501=83;pD?l:;|lb50>=83;pD?l:;|lb50?=83;pD?l:;|lb50g=83;pD?l:;|lb50d=83;pD?l:;|lb50e=83;pD?l:;|lb50b=83;pD?l:;|lb50c=83;pD?l:;|lb50`=83;pD?l:;|lb536=83;pD?l:;|lb537=83;pD?l:;|lb534=83;pD?l:;|lb535=83;pD?l:;|lb532=83;pD?l:;|lb533=83;pD?l:;|lb530=83;pD?l:;|lb531=83;pD?l:;|lb53>=83;pD?l:;|lb53?=83;pD?l:;|lb53g=83;pD?l:;|lb53d=83;pD?l:;|lb53e=83;pD?l:;|lb53b=83;pD?l:;|lb53c=83;pD?l:;|lb53`=83;pD?l:;|lb526=83;pD?l:;|lb527=83;pD?l:;|lb524=83;pD?l:;|lb525=83;pD?l:;|lb522=83;pD?l:;|lb523=83;pD?l:;|lb520=83;pD?l:;|lb521=83;pD?l:;|lb52>=83;pD?l:;|lb52?=83;pD?l:;|lb52g=83;pD?l:;|lb52d=83;pD?l:;|lb52e=83;pD?l:;|lb52b=83;pD?l:;|lb52c=83;pD?l:;|lb52`=83;pD?l:;|lb5=6=83;pD?l:;|lb5=7=83;pD?l:;|lb5=4=83;pD?l:;|lb5=5=83;pD?l:;|lb5=2=83;pD?l:;|lb5=3=83;pD?l:;|lb5=0=83;pD?l:;|lb5=1=83;pD?l:;|lb5=>=83;pD?l:;|lb5=?=83;pD?l:;|lb5=g=83;pD?l:;|lb5=d=83;pD?l:;|lb5=e=83;pD?l:;|lb5=b=83;pD?l:;|lb5=c=83;pD?l:;|lb5=`=83;pD?l:;|lb5<6=83;pD?l:;|lb5<7=83;pD?l:;|lb5<4=83;pD?l:;|lb5<5=83;pD?l:;|lb5<2=83;pD?l:;|lb5<3=83;pD?l:;|lb5<0=83;pD?l:;|lb5<1=83;pD?l:;|lb5<>=83;pD?l:;|lb5=83;pD?l:;|lb5d?=83;pD?l:;|lb5dg=83;pD?l:;|lb5dd=83;pD?l:;|lb5de=83;pD?l:;|lb5db=83;pD?l:;|lb5dc=83;pD?l:;|lb5d`=83;pD?l:;|lb5g6=83;pD?l:;|lb5g7=83;pD?l:;|lb5g4=83;pD?l:;|lb5g5=83;pD?l:;|lb5g2=83;pD?l:;|lb5g3=83;pD?l:;|lb5g0=83;pD?l:;|lb5g1=83;pD?l:;|lb5g>=83;pD?l:;|lb5g?=83;pD?l:;|lb5gg=83;pD?l:;|lb5gd=83;pD?l:;|lb5ge=83;pD?l:;|lb5gb=83;pD?l:;|lb5gc=83;pD?l:;|lb5g`=83;pD?l:;|lb5f6=83;pD?l:;|lb5f7=83;pD?l:;|lb5f4=83;pD?l:;|lb5f5=83;pD?l:;|lb5f2=83;pD?l:;|lb5f3=83;pD?l:;|lb5f0=83;pD?l:;|lb5f1=83;pD?l:;|lb5f>=83;pD?l:;|lb5f?=83;pD?l:;|lb5fg=83;pD?l:;|lb5fd=83;pD?l:;|lb5fe=83;pD?l:;|lb5fb=83;pD?l:;|lb5fc=83;pD?l:;|lb5f`=83;pD?l:;|lb5a6=83;pD?l:;|lb5a7=83;pD?l:;|lb5a4=83;pD?l:;|lb5a5=83;pD?l:;|lb5a2=83;pD?l:;|lb5a3=83;pD?l:;|lb5a0=83;pD?l:;|lb5a1=83;pD?l:;|lb5a>=83;pD?l:;|lb5a?=83;pD?l:;|lb5ag=83;pD?l:;|lb5ad=83;pD?l:;|lb5ae=83;pD?l:;|lb5ab=83;pD?l:;|lb5ac=83;pD?l:;|lb5a`=83;pD?l:;|lb5`6=83;pD?l:;|lb5`7=83;pD?l:;|lb5`4=83;pD?l:;|lb5`5=83;pD?l:;|lb5`2=83;pD?l:;|lb5`3=83;pD?l:;|lb5`0=83;pD?l:;|lb5`1=83;pD?l:;|lb5`>=83;pD?l:;|lb5`?=83;pD?l:;|lb5`g=83;pD?l:;|lb5`d=83;pD?l:;|lb5`e=83;pD?l:;|lb5`b=83;pD?l:;|lb5`c=83;pD?l:;|lb5``=83;pD?l:;|lb5c6=83;pD?l:;|lb5c7=83;pD?l:;|lb5c4=83;pD?l:;|lb5c5=83;pD?l:;|lb5c2=83;pD?l:;|lb5c3=83;pD?l:;|lb5c0=83;pD?l:;|lb5c1=83;pD?l:;|lb5c>=83;pD?l:;|lb5c?=83;pD?l:;|lb5cg=83;pD?l:;|lb5cd=83;pD?l:;|lb5ce=83;pD?l:;|lb5cb=83;pD?l:;|lb5cc=83;pD?l:;|lb5c`=83;pD?l:;|lb656=83;pD?l:;|lb657=83;pD?l:;|lb654=83;pD?l:;|lb655=83;pD?l:;|lb652=83;pD?l:;|lb653=83;pD?l:;|lb650=83;pD?l:;|lb651=83;pD?l:;|lb65>=83;pD?l:;|lb65?=83;pD?l:;|lb65g=83;pD?l:;|lb65d=83;pD?l:;|lb65e=83;pD?l:;|lb65b=83;pD?l:;|lb65c=83;pD?l:;|lb65`=83;pD?l:;|lb646=83;pD?l:;|lb647=83;pD?l:;|lb644=83;pD?l:;|lb645=83;pD?l:;|lb642=83;pD?l:;|lb643=83;pD?l:;|lb640=83;pD?l:;|lb641=83;pD?l:;|lb64>=83;pD?l:;|lb64?=83;pD?l:;|lb64g=83;pD?l:;|lb64d=83;pD?l:;|lb64e=83;pD?l:;|lb64b=83;pD?l:;|lb64c=83;pD?l:;|lb64`=83;pD?l:;|lb676=83;pD?l:;|lb677=83;pD?l:;|lb674=83;pD?l:;|lb675=83;pD?l:;|lb672=83;pD?l:;|lb673=83;pD?l:;|lb670=83;pD?l:;|lb671=83;pD?l:;|lb67>=83;pD?l:;|lb67?=83;pD?l:;|lb67g=83;pD?l:;|lb67d=83;pD?l:;|lb67e=83;pD?l:;|lb67b=83;pD?l:;|lb67c=83;pD?l:;|lb67`=83;pD?l:;|lb666=83;pD?l:;|lb667=83;pD?l:;|lb664=83;pD?l:;|lb665=83;pD?l:;|lb662=83;pD?l:;|lb663=83;pD?l:;|lb660=83;pD?l:;|lb661=83;pD?l:;|lb66>=83;pD?l:;|lb66?=83;pD?l:;|lb66g=83;pD?l:;|lb66d=83;pD?l:;|lb66e=83;pD?l:;|lb66b=83;pD?l:;|lb66c=83;pD?l:;|lb66`=83;pD?l:;|lb616=83;pD?l:;|lb617=83;pD?l:;|lb614=83;pD?l:;|lb615=83;pD?l:;|lb612=83;pD?l:;|lb613=83;pD?l:;|lb610=83;pD?l:;|lb611=83;pD?l:;|lb61>=83;pD?l:;|lb61?=83;pD?l:;|lb61g=83;pD?l:;|lb61d=83;pD?l:;|lb61e=83;pD?l:;|lb61b=83;pD?l:;|lb61c=83;pD?l:;|lb61`=83;pD?l:;|lb606=83;pD?l:;|lb607=83;pD?l:;|lb604=83;pD?l:;|lb605=83;pD?l:;|lb602=83;pD?l:;|lb603=83;pD?l:;|lb600=83;pD?l:;|lb601=83;pD?l:;|lb60>=83;pD?l:;|lb60?=83;pD?l:;|lb60g=83;pD?l:;|lb60d=83;pD?l:;|lb60e=83;pD?l:;|lb60b=83;pD?l:;|lb60c=83;pD?l:;|lb60`=83;pD?l:;|lb636=83;pD?l:;|lb637=83;pD?l:;|lb634=83;pD?l:;|lb635=83;pD?l:;|lb632=83;pD?l:;|lb633=83;pD?l:;|lb630=83;pD?l:;|lb631=83;pD?l:;|lb63>=83;pD?l:;|lb63?=83;pD?l:;|lb63g=83;pD?l:;|lb63d=83;pD?l:;|lb63e=83;pD?l:;|lb63b=83;pD?l:;|lb63c=83;pD?l:;|lb63`=83;pD?l:;|lb626=83;pD?l:;|lb627=83;pD?l:;|lb624=83;pD?l:;|lb625=83;pD?l:;|lb622=83;pD?l:;|lb623=83;pD?l:;|lb620=83;pD?l:;|lb621=83;pD?l:;|lb62>=83;pD?l:;|lb62?=83;pD?l:;|lb62g=83;pD?l:;|lb62d=83;pD?l:;|lb62e=83;pD?l:;|lb62b=83;pD?l:;|lb62c=83;pD?l:;|lb62`=83;pD?l:;|lb6=6=83;pD?l:;|lb6=7=83;pD?l:;|lb6=4=83;pD?l:;|lb6=5=83;pD?l:;|lb6=2=83;pD?l:;|lb6=3=83;pD?l:;|lb6=0=83;pD?l:;|lb6=1=83;pD?l:;|lb6=>=83;pD?l:;|lb6=?=83;pD?l:;|lb6=g=83;pD?l:;|lb6=d=83;pD?l:;|lb6=e=83;pD?l:;|lb6=b=83;pD?l:;|lb6=c=83;pD?l:;|lb6=`=83;pD?l:;|lb6<6=83;pD?l:;|lb6<7=83;pD?l:;|lb6<4=83;pD?l:;|lb6<5=83;pD?l:;|lb6<2=83;pD?l:;|lb6<3=83;pD?l:;|lb6<0=83;pD?l:;|lb6<1=83;pD?l:;|lb6<>=83;pD?l:;|lb6=83;pD?l:;|lb6d?=83;pD?l:;|lb6dg=83;pD?l:;|lb6dd=83;pD?l:;|lb6de=83;pD?l:;|lb6db=83;pD?l:;|lb6dc=83;pD?l:;|lb6d`=83;pD?l:;|lb6g6=83;pD?l:;|lb6g7=83;pD?l:;|lb6g4=83;pD?l:;|lb6g5=83;pD?l:;|lb6g2=83;pD?l:;|lb6g3=83;pD?l:;|lb6g0=83;pD?l:;|lb6g1=83;pD?l:;|lb6g>=83;pD?l:;|lb6g?=83;pD?l:;|lb6gg=83;pD?l:;|lb6gd=83;pD?l:;|lb6ge=83;pD?l:;|lb6gb=83;pD?l:;|lb6gc=83;pD?l:;|lb6g`=83;pD?l:;|lb6f6=83;pD?l:;|lb6f7=83;pD?l:;|lb6f4=83;pD?l:;|lb6f5=83;pD?l:;|lb6f2=83;pD?l:;|lb6f3=83;pD?l:;|lb6f0=83;pD?l:;|lb6f1=83;pD?l:;|lb6f>=83;pD?l:;|lb6f?=83;pD?l:;|lb6fg=83;pD?l:;|lb6fd=83;pD?l:;|lb6fe=83;pD?l:;|lb6fb=83;pD?l:;|lb6fc=83;pD?l:;|lb6f`=83;pD?l:;|lb6a6=83;pD?l:;|lb6a7=83;pD?l:;|lb6a4=83;pD?l:;|lb6a5=83;pD?l:;|lb6a2=83;pD?l:;|lb6a3=83;pD?l:;|lb6a0=83;pD?l:;|lb6a1=83;pD?l:;|lb6a>=83;pD?l:;|lb6a?=83;pD?l:;|lb6ag=83;pD?l:;|lb6ad=83;pD?l:;|lb6ae=83;pD?l:;|lb6ab=83;pD?l:;|lb6ac=83;pD?l:;|lb6a`=83;pD?l:;|lb6`6=83;pD?l:;|lb6`7=83;pD?l:;|lb6`4=83;pD?l:;|lb6`5=83;pD?l:;|lb6`2=83;pD?l:;|lb6`3=83;pD?l:;|lb6`0=83;pD?l:;|lb6`1=83;pD?l:;|lb6`>=83;pD?l:;|lb6`?=83;pD?l:;|lb6`g=83;pD?l:;|lb6`d=83;pD?l:;|lb6`e=83;pD?l:;|lb6`b=83;pD?l:;|lb6`c=83;pD?l:;|lb6``=83;pD?l:;|lb6c6=83;pD?l:;|lb6c7=83;pD?l:;|lb6c4=83;pD?l:;|lb6c5=83;pD?l:;|lb6c2=83;pD?l:;|lb6c3=83;pD?l:;|lb6c0=83;pD?l:;|lb6c1=83;pD?l:;|lb6c>=83;pD?l:;|lb6c?=83;pD?l:;|lb6cg=83;pD?l:;|lb6cd=83;pD?l:;|lb6ce=83;pD?l:;|lb6cb=83;pD?l:;|lb6cc=83;pD?l:;|lb6c`=83;pD?l:;|lb756=83;pD?l:;|lb757=83;pD?l:;|lb754=83;pD?l:;|lb755=83;pD?l:;|lb752=83;pD?l:;|lb753=83;pD?l:;|lb750=83;pD?l:;|lb751=83;pD?l:;|lb75>=83;pD?l:;|lb75?=83;pD?l:;|lb75g=83;pD?l:;|lb75d=83;pD?l:;|lb75e=83;pD?l:;|lb75b=83;pD?l:;|lb75c=83;pD?l:;|lb75`=83;pD?l:;|lb746=83;pD?l:;|lb747=83;pD?l:;|lb744=83;pD?l:;|lb745=83;pD?l:;|lb742=83;pD?l:;|lb743=83;pD?l:;|lb740=83;pD?l:;|lb741=83;pD?l:;|lb74>=83;pD?l:;|lb74?=83;pD?l:;|lb74g=83;pD?l:;|lb74d=83;pD?l:;|lb74e=83;pD?l:;|lb74b=83;pD?l:;|lb74c=83;pD?l:;|lb74`=83;pD?l:;|lb776=83;pD?l:;|lb777=83;pD?l:;|lb774=83;pD?l:;|lb775=83;pD?l:;|lb772=83;pD?l:;|lb773=83;pD?l:;|lb770=83;pD?l:;|lb771=83;pD?l:;|lb77>=83;pD?l:;|lb77?=83;pD?l:;|lb77g=83;pD?l:;|lb77d=83;pD?l:;|lb77e=83;pD?l:;|lb77b=83;pD?l:;|lb77c=83;pD?l:;|lb77`=83;pD?l:;|lb766=83;pD?l:;|lb767=83;pD?l:;|lb764=83;pD?l:;|lb765=83;pD?l:;|lb762=83;pD?l:;|lb763=83;pD?l:;|lb760=83;pD?l:;|lb761=83;pD?l:;|lb76>=83;pD?l:;|lb76?=83;pD?l:;|lb76g=83;pD?l:;|lb76d=83;pD?l:;|lb76e=83;pD?l:;|lb76b=83;pD?l:;|lb76c=83;pD?l:;|lb76`=83;pD?l:;|lb716=83;pD?l:;|lb717=83;pD?l:;|lb714=83;pD?l:;|lb715=83;pD?l:;|lb712=83;pD?l:;|lb713=83;pD?l:;|lb710=83;pD?l:;|lb711=83;pD?l:;|lb71>=83;pD?l:;|lb71?=83;pD?l:;|lb71g=83;pD?l:;|lb71d=83;pD?l:;|lb71e=83;pD?l:;|lb71b=83;pD?l:;|lb71c=83;pD?l:;|lb71`=83;pD?l:;|lb706=83;pD?l:;|lb707=83;pD?l:;|lb704=83;pD?l:;|lb705=83;pD?l:;|lb702=83;pD?l:;|lb703=83;pD?l:;|lb700=83;pD?l:;|lb701=83;pD?l:;|lb70>=83;pD?l:;|lb70?=83;pD?l:;|lb70g=83;pD?l:;|lb70d=83;pD?l:;|lb70e=83;pD?l:;|lb70b=83;pD?l:;|lb70c=83;pD?l:;|lb70`=83;pD?l:;|lb736=83;pD?l:;|lb737=83;pD?l:;|lb734=83;pD?l:;|lb735=83;pD?l:;|lb732=83;pD?l:;|lb733=83;pD?l:;|lb730=83;pD?l:;|lb731=83;pD?l:;|lb73>=83;pD?l:;|lb73?=83;pD?l:;|lb73g=83;pD?l:;|lb73d=83;pD?l:;|lb73e=83;pD?l:;|lb73b=83;pD?l:;|lb73c=83;pD?l:;|lb73`=83;pD?l:;|lb726=83;pD?l:;|lb727=83;pD?l:;|lb724=83;pD?l:;|lb725=83;pD?l:;|lb722=83;pD?l:;|lb723=83;pD?l:;|lb720=83;pD?l:;|lb721=83;pD?l:;|lb72>=83;pD?l:;|lb72?=83;pD?l:;|lb72g=83;pD?l:;|lb72d=83;pD?l:;|lb72e=83;pD?l:;|lb72b=83;pD?l:;|lb72c=83;pD?l:;|lb72`=83;pD?l:;|lb7=6=83;pD?l:;|lb7=7=83;pD?l:;|lb7=4=83;pD?l:;|lb7=5=83;pD?l:;|lb7=2=83;pD?l:;|lb7=3=83;pD?l:;|lb7=0=83;pD?l:;|lb7=1=83;pD?l:;|lb7=>=83;pD?l:;|lb7=?=83;pD?l:;|lb7=g=83;pD?l:;|lb7=d=83;pD?l:;|lb7=e=83;pD?l:;|lb7=b=83;pD?l:;|lb7=c=83;pD?l:;|lb7=`=83;pD?l:;|lb7<6=83;pD?l:;|lb7<7=83;pD?l:;|lb7<4=83;pD?l:;|lb7<5=83;pD?l:;|lb7<2=83;pD?l:;|lb7<3=83;pD?l:;|lb7<0=83;pD?l:;|lb7<1=83;pD?l:;|lb7<>=83;pD?l:;|lb7=83;pD?l:;|lb7d?=83;pD?l:;|lb7dg=83;pD?l:;|lb7dd=83;pD?l:;|lb7de=83;pD?l:;|lb7db=83;pD?l:;|lb7dc=83;pD?l:;|lb7d`=83;pD?l:;|lb7g6=83;pD?l:;|lb7g7=83;pD?l:;|lb7g4=83;pD?l:;|lb7g5=83;pD?l:;|lb7g2=83;pD?l:;|lb7g3=83;pD?l:;|lb7g0=83;pD?l:;|lb7g1=83;pD?l:;|lb7g>=83;pD?l:;|lb7g?=83;pD?l:;|lb7gg=83;pD?l:;|lb7gd=83;pD?l:;|lb7ge=83;pD?l:;|lb7gb=83;pD?l:;|lb7gc=83;pD?l:;|lb7g`=83;pD?l:;|lb7f6=83;pD?l:;|lb7f7=83;pD?l:;|lb7f4=83;pD?l:;|lb7f5=83;pD?l:;|lb7f2=83;pD?l:;|lb7f3=83;pD?l:;|lb7f0=83;pD?l:;|lb7f1=83;pD?l:;|lb7f>=83;pD?l:;|lb7f?=83;pD?l:;|lb7fg=83;pD?l:;|lb7fd=83;pD?l:;|lb7fe=83;pD?l:;|lb7fb=83;pD?l:;|lb7fc=83;pD?l:;|lb7f`=83;pD?l:;|lb7a6=83;pD?l:;|lb7a7=83;pD?l:;|lb7a4=83;pD?l:;|lb7a5=83;pD?l:;|lb7a2=83;pD?l:;|lb7a3=83;pD?l:;|lb7a0=83;pD?l:;|lb7a1=83;pD?l:;|lb7a>=83;pD?l:;|lb7a?=83;pD?l:;|lb7ag=83;pD?l:;|lb7ad=83;pD?l:;|lb7ae=83;pD?l:;|lb7ab=83;pD?l:;|lb7ac=83;pD?l:;|lb7a`=83;pD?l:;|lb7`6=83;pD?l:;|lb7`7=83;pD?l:;|lb7`4=83;pD?l:;|lb7`5=83;pD?l:;|lb7`2=83;pD?l:;|lb7`3=83;pD?l:;|lb7`0=83;pD?l:;|lb7`1=83;pD?l:;|lb7`>=83;pD?l:;|lb7`?=83;pD?l:;|lb7`g=83;pD?l:;|lb7`d=83;pD?l:;|lb7`e=83;pD?l:;|lb7`b=83;pD?l:;|lb7`c=83;pD?l:;|lb7``=83;pD?l:;|lb7c6=83;pD?l:;|lb7c7=83;pD?l:;|lb7c4=83;pD?l:;|lb7c5=83;pD?l:;|lb7c2=83;pD?l:;|lb7c3=83;pD?l:;|lb7c0=83;pD?l:;|lb7c1=83;pD?l:;|lb7c>=83;pD?l:;|lb7c?=83;pD?l:;|lb7cg=83;pD?l:;|lb7cd=83;pD?l:;|lb7ce=83;pD?l:;|lb7cb=83;pD?l:;|lb7cc=83;pD?l:;|lb7c`=83;pD?l:;|lb056=83;pD?l:;|lb057=83;pD?l:;|lb054=83;pD?l:;|lb055=83;pD?l:;|lb052=83;pD?l:;|lb053=83;pD?l:;|lb050=83;pD?l:;|lb051=83;pD?l:;|lb05>=83;pD?l:;|lb05?=83;pD?l:;|lb05g=83;pD?l:;|lb05d=83;pD?l:;|lb05e=83;pD?l:;|lb05b=83;pD?l:;|lb05c=83;pD?l:;|lb05`=83;pD?l:;|lb046=83;pD?l:;|lb047=83;pD?l:;|lb044=83;pD?l:;|lb045=83;pD?l:;|lb042=83;pD?l:;|lb043=83;pD?l:;|lb040=83;pD?l:;|lb041=83;pD?l:;|lb04>=83;pD?l:;|lb04?=83;pD?l:;|lb04g=83;pD?l:;|lb04d=83;pD?l:;|lb04e=83;pD?l:;|lb04b=83;pD?l:;|lb04c=83;pD?l:;|lb04`=83;pD?l:;|lb076=83;pD?l:;|lb077=83;pD?l:;|lb074=83;pD?l:;|lb075=83;pD?l:;|lb072=83;pD?l:;|lb073=83;pD?l:;|lb070=83;pD?l:;|lb071=83;pD?l:;|lb07>=83;pD?l:;|lb07?=83;pD?l:;|lb07g=83;pD?l:;|lb07d=83;pD?l:;|lb07e=83;pD?l:;|lb07b=83;pD?l:;|lb07c=83;pD?l:;|lb07`=83;pD?l:;|lb066=83;pD?l:;|lb067=83;pD?l:;|lb064=83;pD?l:;|lb065=83;pD?l:;|lb062=83;pD?l:;|lb063=83;pD?l:;|lb060=83;pD?l:;|lb061=83;pD?l:;|lb06>=83;pD?l:;|lb06?=83;pD?l:;|lb06g=83;pD?l:;|lb06d=83;pD?l:;|lb06e=83;pD?l:;|lb06b=83;pD?l:;|lb06c=83;pD?l:;|lb06`=83;pD?l:;|lb016=83;pD?l:;|lb017=83;pD?l:;|lb014=83;pD?l:;|lb015=83;pD?l:;|lb012=83;pD?l:;|lb013=83;pD?l:;|lb010=83;pD?l:;|lb011=83;pD?l:;|lb01>=83;pD?l:;|lb01?=83;pD?l:;|lb01g=83;pD?l:;|lb01d=83;pD?l:;|lb01e=83;pD?l:;|lb01b=83;pD?l:;|lb01c=83;pD?l:;|lb01`=83;pD?l:;|lb006=83;pD?l:;|lb007=83;pD?l:;|lb004=83;pD?l:;|lb005=83;pD?l:;|lb002=83;pD?l:;|lb003=83;pD?l:;|lb000=83;pD?l:;|lb001=83;pD?l:;|lb00>=83;pD?l:;|lb00?=83;pD?l:;|lb00g=83;pD?l:;|lb00d=83;pD?l:;|lb00e=83;pD?l:;|lb00b=83;pD?l:;|lb00c=83;pD?l:;|lb00`=83;pD?l:;|lb036=83;pD?l:;|lb037=83;pD?l:;|lb034=83;pD?l:;|lb035=83;pD?l:;|lb032=83;pD?l:;|lb033=83;pD?l:;|lb030=83;pD?l:;|lb031=83;pD?l:;|lb03>=83;pD?l:;|lb03?=83;pD?l:;|lb03g=83;pD?l:;|lb03d=83;pD?l:;|lb03e=83;pD?l:;|lb03b=83;pD?l:;|lb03c=83;pD?l:;|lb03`=83;pD?l:;|lb026=83;pD?l:;|lb027=83;pD?l:;|lb024=83;pD?l:;|lb025=83;pD?l:;|lb022=83;pD?l:;|lb023=83;pD?l:;|lb020=83;pD?l:;|lb021=83;pD?l:;|lb02>=83;pD?l:;|lb02?=83;pD?l:;|lb02g=83;pD?l:;|lb02d=83;pD?l:;|lb02e=83;pD?l:;|lb02b=83;pD?l:;|lb02c=83;pD?l:;|lb02`=83;pD?l:;|lb0=6=83;pD?l:;|lb0=7=83;pD?l:;|lb0=4=83;pD?l:;|lb0=5=83;pD?l:;|lb0=2=83;pD?l:;|lb0=3=83;pD?l:;|lb0=0=83;pD?l:;|lb0=1=83;pD?l:;|lb0=>=83;pD?l:;|lb0=?=83;pD?l:;|lb0=g=83;pD?l:;|lb0=d=83;pD?l:;|lb0=e=83;pD?l:;|lb0=b=83;pD?l:;|lb0=c=83;pD?l:;|lb0=`=83;pD?l:;|lb0<6=83;pD?l:;|lb0<7=83;pD?l:;|lb0<4=83;pD?l:;|lb0<5=83;pD?l:;|lb0<2=83;pD?l:;|lb0<3=83;pD?l:;|lb0<0=83;pD?l:;|lb0<1=83;pD?l:;|lb0<>=83;pD?l:;|~yEFDsknh>7l8e8:74g{GHKq;qMN_{|BC \ No newline at end of file diff --git a/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.xdc b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.xdc new file mode 100755 index 000000000..837b81a33 --- /dev/null +++ b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw.xdc @@ -0,0 +1,4 @@ + + +# Global period constraint +create_clock -name clk -period 100.0 [get_ports clk] diff --git a/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw_bb.v b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw_bb.v new file mode 100755 index 000000000..90f57d3f4 --- /dev/null +++ b/library/axi_mc_torque_ctrl/bldc_sim_fpga_cw_bb.v @@ -0,0 +1,53 @@ + + + +// Declare the module black box +module bldc_sim_fpga_cw ( + ce , + clk , + clk_x0 , + it , + kd1 , + ki , + ki1 , + kp , + kp1 , + motor_speed , + new_current , + new_speed , + ref_speed , + reset , + reset_acc , + err , + it_max , + pwm , + speed + ); // synthesis black_box + + + // Inputs + input ce; + input clk; + input clk_x0; + input [31:0] it; + input [31:0] kd1; + input [31:0] ki; + input [31:0] ki1; + input [31:0] kp; + input [31:0] kp1; + input [31:0] motor_speed; + input new_current; + input new_speed; + input [31:0] ref_speed; + input reset; + input reset_acc; + + // Outputs + output [31:0] err; + output [31:0] it_max; + output [31:0] pwm; + output [31:0] speed; + + +//synthesis attribute box_type bldc_sim_fpga_cw "black_box" +endmodule diff --git a/library/axi_mc_torque_ctrl/control_registers.v b/library/axi_mc_torque_ctrl/control_registers.v new file mode 100755 index 000000000..6e109603c --- /dev/null +++ b/library/axi_mc_torque_ctrl/control_registers.v @@ -0,0 +1,229 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2013(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** + +module control_registers +( + +//bus interface + + input up_rstn, + input up_clk, + input up_sel, + input up_wr, + input [13:0] up_addr, + input [31:0] up_wdata, + output reg [31:0] up_rdata, + output reg up_ack, + +//control + + input [31:0] err_i, + output [31:0] pwm_open_o, + output [31:0] reference_speed_o, + output [31:0] kp_o, + output [31:0] ki_o, + output [31:0] kp1_o, + output [31:0] ki1_o, + output [31:0] kd1_o, + output run_o, + output break_o, + output star_delta_o, + output [1:0] sensors_o, + output [10:0] gpo_o, + output oloop_matlab_o, + output calibrate_adcs_o +); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +//internal registers + +reg [31:0] control_r; +reg [31:0] reference_speed_r; +reg [31:0] kp_r; +reg [31:0] ki_r; +reg [31:0] kp1_r; +reg [31:0] ki1_r; +reg [31:0] kd1_r; +reg [31:0] pwm_open_r; +reg [31:0] pwm_break_r; +reg [31:0] status_r; +reg [31:0] reserved_r1; +reg [31:0] reserved_r2; +reg [10:0] gpo_r; + +//------------------------------------------------------------------------------ +//----------- Wires Declarations ----------------------------------------------- +//------------------------------------------------------------------------------ +//internal signals + +wire up_sel_s; +wire up_wr_s; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ +//decode block select + +assign up_sel_s = (up_addr[13:4] == 10'h00) ? up_sel : 1'b0; +assign up_wr_s = up_sel_s & up_wr; + +assign run_o = control_r[0]; // Run the motor +assign break_o = control_r[2]; // Activate the Break circuit +assign star_delta_o = control_r[4]; // Select between star [0] or delta [1] controller +assign sensors_o = control_r[9:8]; // Select between Hall[00] and BEMF[01] sensors +assign calibrate_adcs_o = control_r[16]; +assign oloop_matlab_o = control_r[12]; // Select between open loop control [0] and matlab control [1] +assign gpo_o = control_r[30:20]; + +assign pwm_open_o = pwm_open_r; // PWM value, for open loop control +assign reference_speed_o = reference_speed_r; +assign kp_o = kp_r; +assign ki_o = ki_r; +assign kp1_o = kp1_r; +assign kd1_o = kd1_r; +assign ki1_o = ki1_r; + + +// processor write interface + +always @(negedge up_rstn or posedge up_clk) +begin + if (up_rstn == 0) + begin + control_r <= 'h0; + reference_speed_r <= 'd1000; + kp_r <= 'd35000; + ki_r <= 'd30; + kp1_r <= 'd400000; + ki1_r <= 'd250; + kd1_r <= 'd200000; + pwm_open_r <= 'h5ff; + pwm_break_r <= 'd0; + status_r <= 'd0; + end + else + begin + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h3)) + begin + reserved_r1 <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h4)) + begin + control_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h5)) + begin + reference_speed_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h6)) + begin + kp_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h7)) + begin + ki_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h8)) + begin + reserved_r2 <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'h9)) + begin + kp1_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'ha)) + begin + ki1_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'hb)) + begin + kd1_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'hc)) + begin + pwm_open_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'hd)) + begin + pwm_break_r <= up_wdata; + end + if ((up_wr_s == 1'b1) && (up_addr[3:0] == 4'he)) + begin + status_r <= up_wdata; + end + end +end + +// processor read interface + +always @(negedge up_rstn or posedge up_clk) +begin + if (up_rstn == 0) begin + up_ack <= 'd0; + up_rdata <= 'd0; + end + else + begin + up_ack <= up_sel_s; + if (up_sel_s == 1'b1) begin + case (up_addr[3:0]) + 4'h3: up_rdata <= reserved_r1; + 4'h4: up_rdata <= control_r; + 4'h5: up_rdata <= reference_speed_r; + 4'h6: up_rdata <= kp_r; + 4'h7: up_rdata <= ki_r; + 4'h8: up_rdata <= reserved_r2; + 4'h9: up_rdata <= kp1_r; + 4'ha: up_rdata <= ki1_r; + 4'hb: up_rdata <= kd1_r; + 4'hc: up_rdata <= pwm_open_r; + 4'hd: up_rdata <= pwm_break_r; + 4'he: up_rdata <= status_r; + 4'hf: up_rdata <= err_i; + default: up_rdata <= 0; + endcase + end + else + begin + up_rdata <= 32'd0; + end + end +end + +endmodule diff --git a/library/axi_mc_torque_ctrl/motor_driver.v b/library/axi_mc_torque_ctrl/motor_driver.v new file mode 100755 index 000000000..441f31676 --- /dev/null +++ b/library/axi_mc_torque_ctrl/motor_driver.v @@ -0,0 +1,272 @@ +// ----------------------------------------------------------------------------- +// +// Copyright 2011(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED +// WARRANTIES, INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY +// AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, +// SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, +// INTELLECTUAL PROPERTY RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS +// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// +// ----------------------------------------------------------------------------- +// FILE NAME : motor_driver.v +// MODULE NAME :motor_driver +// AUTHOR : acozma +// AUTHOR'S EMAIL : andrei.cozma@analog.com +// ----------------------------------------------------------------------------- +// SVN REVISION: $WCREV$ +// ----------------------------------------------------------------------------- +// KEYWORDS : +// ----------------------------------------------------------------------------- +// PURPOSE : Module for driving a BLDC motor +// ----------------------------------------------------------------------------- +// REUSE ISSUES +// Reset Strategy : Active low reset signal +// Clock Domains : +// Critical Timing : N/A +// Test Features : N/A +// Asynchronous I/F : N/A +// Instantiations : N/A +// Synthesizable (y/n) : Y +// Target Device : +// Other : +// ----------------------------------------------------------------------------- +// ----------------------------------------------------------------------------- +`timescale 1ns / 1ps + +//------------------------------------------------------------------------------ +//----------- Module Declaration ----------------------------------------------- +//------------------------------------------------------------------------------ +module motor_driver +//----------- Paramters Declarations ------------------------------------------- +#( + parameter PWM_BITS = 11 +) +//----------- Ports Declarations ----------------------------------------------- +( + input clk_i, + input pwm_clk_i, + input rst_n_i, + input run_i, + input star_delta_i, // 0 star configuration, 1 delta configuration + input [2:0] position_i, + input [PWM_BITS-1:0] pwm_duty_i, + output AH_o, + output BH_o, + output CH_o, + output AL_o, + output BL_o, + output CL_o +); + +//------------------------------------------------------------------------------ +//----------- Registers Declarations ------------------------------------------- +//------------------------------------------------------------------------------ +reg [ 7:0] motor_state; +reg [ 7:0] motor_next_state; +reg [31:0] align_counter; +reg pwm_s; +reg [PWM_BITS-1:0] pwm_cnt; +reg [32:0] stall_counter; + +//------------------------------------------------------------------------------ +//----------- Wires Declarations ----------------------------------------------- +//------------------------------------------------------------------------------ +wire align_complete; +wire [PWM_BITS-1:0] pwm_duty_s; + +//------------------------------------------------------------------------------ +//----------- Local Parameters ------------------------------------------------- +//------------------------------------------------------------------------------ +parameter OFF = 8'b00000001; +parameter ALIGN = 8'b00000010; +parameter PHASE1 = 8'b00000100; +parameter PHASE2 = 8'b00001000; +parameter PHASE3 = 8'b00010000; +parameter PHASE4 = 8'b00100000; +parameter PHASE5 = 8'b01000000; +parameter PHASE6 = 8'b10000000; +parameter [PWM_BITS-1:0] ALIGN_PWM_DUTY = 2**(PWM_BITS-1) + 2**(PWM_BITS-4); +parameter [31:0] ALIGN_TIME = 32'h01000000; + +//------------------------------------------------------------------------------ +//----------- Assign/Always Blocks --------------------------------------------- +//------------------------------------------------------------------------------ +assign align_complete = align_counter < ALIGN_TIME ? 0 : 1; +assign pwm_duty_s = motor_state == OFF ? 0 : + motor_state == ALIGN ? ALIGN_PWM_DUTY : pwm_duty_i; + +//Motor Phases Control +// assign AH_o = star_delta_i ? ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2 || motor_state == PHASE3) ? pwm_s : ~pwm_s ) : + // ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE6) ? ~pwm_s : (motor_state == PHASE3 || motor_state == PHASE4) ? pwm_s : 0) ; +// assign AL_o = star_delta_i ? ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2 || motor_state == PHASE3) ? ~pwm_s : pwm_s ) : + // ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE6) ? pwm_s : (motor_state == PHASE3 || motor_state == PHASE4) ? ~pwm_s : 0) ; + +// assign BH_o = star_delta_i ? ( (motor_state == PHASE3 || motor_state == PHASE4 || motor_state == PHASE5 ) ? pwm_s : ~pwm_s ) : + // ( (motor_state == PHASE2 || motor_state == PHASE3) ? ~pwm_s : (motor_state == PHASE5 || motor_state == PHASE6) ? pwm_s : 0 ); +// assign BL_o = star_delta_i ? ( (motor_state == PHASE3 || motor_state == PHASE4 || motor_state == PHASE5 ) ? ~pwm_s : pwm_s ) : + // ( (motor_state == PHASE2 || motor_state == PHASE3) ? pwm_s : (motor_state == PHASE5 || motor_state == PHASE6) ? ~pwm_s : 0 ); + +// assign CH_o = star_delta_i ? ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE5 || motor_state == PHASE6) ? pwm_s : ~pwm_s ) : + // ( (motor_state == PHASE4 || motor_state == PHASE5) ? ~pwm_s : (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2) ? pwm_s : 0 ); +// assign CL_o = star_delta_i ? ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE5 || motor_state == PHASE6) ? ~pwm_s : pwm_s ) : + // ( (motor_state == PHASE4 || motor_state == PHASE5) ? pwm_s : (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2) ? ~pwm_s : 0 ); + +assign AH_o = ( (motor_state == PHASE3 || motor_state == PHASE4 || motor_state == PHASE5 ) ? ~pwm_s : 1 ); +assign AL_o = ( (motor_state == PHASE3 || motor_state == PHASE4 || motor_state == PHASE5 ) ? pwm_s : ~pwm_s ); + +assign BH_o = ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE5 || motor_state == PHASE6) ? ~pwm_s : 1 ); +assign BL_o = ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE5 || motor_state == PHASE6) ? pwm_s : ~pwm_s ); + +assign CH_o = ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2 || motor_state == PHASE3) ? ~pwm_s : 1 ); +assign CL_o = ( (motor_state == ALIGN || motor_state == PHASE1 || motor_state == PHASE2 || motor_state == PHASE3) ? pwm_s : ~pwm_s ); + + +//Control the current motor state +always @(posedge clk_i) +begin + if(rst_n_i == 1'b0) + begin + motor_state <= OFF; + align_counter <= 0; + end + else + begin + motor_state <= (run_i == 1'b1 ? motor_next_state : OFF); + align_counter <= motor_state == ALIGN ? align_counter + 1 : 0; + end +end + +//Determine the next motor state +always @(motor_state, position_i, align_complete,run_i, stall_counter) +begin + motor_next_state <= motor_state; + case(motor_state) + OFF: + begin + if(run_i == 1'b1) + begin + motor_next_state <= ALIGN; + end + end + ALIGN: + begin + if(align_complete == 1'b1) + begin + motor_next_state <= PHASE2; + end + + end + PHASE1: + begin + if(position_i == 3'b010 || stall_counter == 0 ) + begin + motor_next_state <= PHASE2; + end + end + PHASE2: + begin + if(position_i == 3'b110 || stall_counter == 0) + begin + motor_next_state <= PHASE3; + end + end + PHASE3: + begin + if(position_i == 3'b100 || stall_counter == 0 ) + begin + motor_next_state <= PHASE4; + end + end + PHASE4: + begin + if(position_i == 3'b101 || stall_counter == 0 ) + begin + motor_next_state <= PHASE5; + end + end + PHASE5: + begin + if(position_i == 3'b001 || stall_counter == 0 ) + begin + motor_next_state <= PHASE6; + end + end + PHASE6: + begin + if(position_i == 3'b011 || stall_counter == 0 ) + begin + motor_next_state <= PHASE1; + end + end + default: + begin + motor_next_state <= OFF; + end + endcase +end + +always @(posedge clk_i) +begin + if (rst_n_i == 1'b0) + begin + stall_counter <= 32'd5000000; + end + else + begin + if (motor_next_state == motor_state && motor_state != OFF && motor_state != ALIGN) + begin + if(stall_counter > 0) + begin + stall_counter <= stall_counter - 1; + end + end + else + begin + stall_counter <= 32'd5000000; + end + end +end + + +//Generate the PWM signal +always @(posedge pwm_clk_i) +begin + if((rst_n_i == 1'b0)) + begin + pwm_cnt <= 0; + end + else + begin + pwm_cnt <= pwm_cnt < (2**PWM_BITS - 1) ? pwm_cnt + 1 : 0; + end + pwm_s <= pwm_cnt < pwm_duty_s ? 1 : 0; +end + +endmodule + diff --git a/projects/motor_control/common/motor_control_bd.tcl b/projects/motor_control/common/motor_control_bd.tcl new file mode 100755 index 000000000..2aab57cda --- /dev/null +++ b/projects/motor_control/common/motor_control_bd.tcl @@ -0,0 +1,380 @@ + + # motor control + + # position detection interface + + set position_i [ create_bd_port -dir I -from 2 -to 0 position_i ] + + # current monitor 1 interface + + set adc_ia_dat_i [ create_bd_port -dir I adc_ia_dat_i ] + set adc_ib_dat_i [ create_bd_port -dir I adc_ib_dat_i ] + set adc_it_dat_i [ create_bd_port -dir I adc_it_dat_i ] + set adc_vbus_dat_i [ create_bd_port -dir I adc_vbus_dat_i ] + set adc_ia_clk_o [ create_bd_port -dir O adc_ia_clk_o ] + set adc_ib_clk_o [ create_bd_port -dir O adc_ib_clk_o ] + set adc_it_clk_o [ create_bd_port -dir O adc_it_clk_o ] + set adc_vbus_clk_o [ create_bd_port -dir O adc_vbus_clk_o ] + + # cuurrent monitor 2 interface + + set adc_ia_dat_d_i [ create_bd_port -dir I adc_ia_dat_d_i ] + set adc_ib_dat_d_i [ create_bd_port -dir I adc_ib_dat_d_i ] + set adc_it_dat_d_i [ create_bd_port -dir I adc_it_dat_d_i ] + set adc_ia_clk_d_o [ create_bd_port -dir O adc_ia_clk_d_o ] + set adc_ib_clk_d_o [ create_bd_port -dir O adc_ib_clk_d_o ] + set adc_it_clk_d_o [ create_bd_port -dir O adc_it_clk_d_o ] + + # motor control interface + + set fmc_m1_fault_i [ create_bd_port -dir I fmc_m1_fault_i ] + set fmc_m1_en_o [ create_bd_port -dir O fmc_m1_en_o ] + + set pwm_al_o [ create_bd_port -dir O pwm_al_o] + set pwm_ah_o [ create_bd_port -dir O pwm_ah_o] + set pwm_cl_o [ create_bd_port -dir O pwm_cl_o] + set pwm_ch_o [ create_bd_port -dir O pwm_ch_o] + set pwm_bl_o [ create_bd_port -dir O pwm_bl_o] + set pwm_bh_o [ create_bd_port -dir O pwm_bh_o] + + # gpo interface + + set gpo_o [ create_bd_port -dir O -from 7 -to 0 gpo_o ] + + # xadc interface + + set vp_in [ create_bd_port -dir I vp_in ] + set vn_in [ create_bd_port -dir I vn_in ] + set vauxp0 [ create_bd_port -dir I vauxp0 ] + set vauxn0 [ create_bd_port -dir I vauxn0 ] + set vauxp8 [ create_bd_port -dir I vauxp8 ] + set vauxn8 [ create_bd_port -dir I vauxn8 ] + set muxaddr_out [ create_bd_port -dir O -from 4 -to 0 muxaddr_out ] + + # additions to default configuration + + set_property -dict [list CONFIG.NUM_PORTS {7}] $sys_concat_intc + set_property -dict [list CONFIG.NUM_MI {16}] $axi_cpu_interconnect + set_property -dict [ list CONFIG.PCW_USE_S_AXI_HP1 {1} ] $sys_ps7 + + # current monitor 1 peripherals + + set axi_mc_current_monitor_1 [ create_bd_cell -type ip -vlnv analog.com:user:axi_mc_current_monitor:1.0 axi_mc_current_monitor_1 ] + + set axi_current_monitor_1_dma [create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_current_monitor_1_dma] + set_property -dict [list CONFIG.C_DMA_TYPE_SRC {2}] $axi_current_monitor_1_dma + set_property -dict [list CONFIG.C_DMA_TYPE_DEST {0}] $axi_current_monitor_1_dma + set_property -dict [list CONFIG.C_2D_TRANSFER {0}] $axi_current_monitor_1_dma + set_property -dict [list CONFIG.C_CYCLIC {0}] $axi_current_monitor_1_dma +# set_property -dict [list CONFIG.C_ADDR_ALIGN_BITS {3}] $axi_current_monitor_1_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_DEST {64}] $axi_current_monitor_1_dma + set_property -dict [list CONFIG.C_SYNC_TRANSFER_START {1}] $axi_current_monitor_1_dma + + # current monitor 2 peripherals + + set axi_mc_current_monitor_2 [ create_bd_cell -type ip -vlnv analog.com:user:axi_mc_current_monitor:1.0 axi_mc_current_monitor_2 ] + + set axi_current_monitor_2_dma [create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_current_monitor_2_dma] + set_property -dict [list CONFIG.C_DMA_TYPE_SRC {2}] $axi_current_monitor_2_dma + set_property -dict [list CONFIG.C_DMA_TYPE_DEST {0}] $axi_current_monitor_2_dma + set_property -dict [list CONFIG.C_2D_TRANSFER {0}] $axi_current_monitor_2_dma + set_property -dict [list CONFIG.C_CYCLIC {0}] $axi_current_monitor_2_dma +# set_property -dict [list CONFIG.C_ADDR_ALIGN_BITS {3}] $axi_current_monitor_2_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_DEST {64}] $axi_current_monitor_2_dma + set_property -dict [list CONFIG.C_SYNC_TRANSFER_START {1}] $axi_current_monitor_2_dma + + # speed detector + + set axi_mc_speed_1 [ create_bd_cell -type ip -vlnv analog.com:user:axi_mc_speed:1.0 axi_mc_speed_1 ] + + set axi_speed_detector_dma [create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_speed_detector_dma] + set_property -dict [list CONFIG.C_DMA_TYPE_SRC {2}] $axi_speed_detector_dma + set_property -dict [list CONFIG.C_DMA_TYPE_DEST {0}] $axi_speed_detector_dma + set_property -dict [list CONFIG.C_2D_TRANSFER {0}] $axi_speed_detector_dma + set_property -dict [list CONFIG.C_CYCLIC {0}] $axi_speed_detector_dma +# set_property -dict [list CONFIG.C_ADDR_ALIGN_BITS {2}] $axi_speed_detector_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_DEST {64}] $axi_speed_detector_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_SRC {32}] $axi_speed_detector_dma + + # torque controller + + set axi_mc_torque_controller [ create_bd_cell -type ip -vlnv analog.com:user:axi_mc_torque_ctrl:1.0 axi_mc_torque_controller ] + + set axi_torque_controller_dma [create_bd_cell -type ip -vlnv analog.com:user:axi_dmac:1.0 axi_torque_controller_dma] + set_property -dict [list CONFIG.C_DMA_TYPE_SRC {2}] $axi_torque_controller_dma + set_property -dict [list CONFIG.C_DMA_TYPE_DEST {0}] $axi_torque_controller_dma + set_property -dict [list CONFIG.C_2D_TRANSFER {0}] $axi_torque_controller_dma + set_property -dict [list CONFIG.C_CYCLIC {0}] $axi_torque_controller_dma +# set_property -dict [list CONFIG.C_ADDR_ALIGN_BITS {2}] $axi_torque_controller_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_DEST {64}] $axi_torque_controller_dma + set_property -dict [list CONFIG.C_DMA_DATA_WIDTH_SRC {32}] $axi_torque_controller_dma + + # xadc + + set xadc_wiz_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xadc_wiz:3.0 xadc_wiz_1 ] + set_property -dict [ list CONFIG.XADC_STARUP_SELECTION {simultaneous_sampling} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.OT_ALARM {false} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.USER_TEMP_ALARM {false} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.VCCINT_ALARM {false} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.VCCAUX_ALARM {false} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.ENABLE_EXTERNAL_MUX {true} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.EXTERNAL_MUX_CHANNEL {VAUXP0_VAUXN0} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.CHANNEL_ENABLE_VAUXP0_VAUXN0 {true} ] $xadc_wiz_1 + set_property -dict [ list CONFIG.CHANNEL_ENABLE_VAUXP1_VAUXN1 {false} ] $xadc_wiz_1 + + # additional interconnect + + set axi_mem_interconnect [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_mem_interconnect ] + set_property -dict [ list CONFIG.NUM_SI {4} CONFIG.NUM_MI {1} ] $axi_mem_interconnect + + # connections + + # position + + connect_bd_net -net position_i_1 [get_bd_ports position_i] [get_bd_pins axi_mc_speed_1/position_i] [get_bd_pins axi_mc_speed_1/bemf_i] + + # current monitor 1 + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_current_monitor_1/ref_clk] $sys_100m_clk_source + + connect_bd_net -net adc_ia_dat_i_1 [get_bd_ports adc_ia_dat_i] [get_bd_pins axi_mc_current_monitor_1/adc_ia_dat_i] + connect_bd_net -net adc_ib_dat_i_1 [get_bd_ports adc_ib_dat_i] [get_bd_pins axi_mc_current_monitor_1/adc_ib_dat_i] + connect_bd_net -net adc_it_dat_i_1 [get_bd_ports adc_it_dat_i] [get_bd_pins axi_mc_current_monitor_1/adc_it_dat_i] + connect_bd_net -net adc_vbus_dat_i_1 [get_bd_ports adc_vbus_dat_i] [get_bd_pins axi_mc_current_monitor_1/adc_vbus_dat_i] + + connect_bd_net -net axi_mc_current_monitor_1_adc_ia_clk_o [get_bd_ports adc_ia_clk_o] [get_bd_pins axi_mc_current_monitor_1/adc_ia_clk_o] + connect_bd_net -net axi_mc_current_monitor_1_adc_ib_clk_o [get_bd_ports adc_ib_clk_o] [get_bd_pins axi_mc_current_monitor_1/adc_ib_clk_o] + connect_bd_net -net axi_mc_current_monitor_1_adc_it_clk_o [get_bd_ports adc_it_clk_o] [get_bd_pins axi_mc_current_monitor_1/adc_it_clk_o] + connect_bd_net -net axi_mc_current_monitor_1_adc_vbus_clk_o [get_bd_ports adc_vbus_clk_o] [get_bd_pins axi_mc_current_monitor_1/adc_vbus_clk_o] + + connect_bd_net -net axi_mc_current_monitor_1_adc_clk [get_bd_pins axi_mc_current_monitor_1/adc_clk_o] [get_bd_pins axi_current_monitor_1_dma/fifo_wr_clk] + connect_bd_net -net axi_mc_current_monitor_1_adc_dwr [get_bd_pins axi_mc_current_monitor_1/adc_dwr_o] [get_bd_pins axi_current_monitor_1_dma/fifo_wr_en] + connect_bd_net -net axi_mc_current_monitor_1_adc_ddata [get_bd_pins axi_mc_current_monitor_1/adc_ddata_o] [get_bd_pins axi_current_monitor_1_dma/fifo_wr_din] + connect_bd_net -net axi_mc_current_monitor_1_adc_dsync [get_bd_pins axi_mc_current_monitor_1/adc_dsync_o] [get_bd_pins axi_current_monitor_1_dma/fifo_wr_sync] + connect_bd_net -net axi_mc_current_monitor_1_adc_mon_data [get_bd_pins axi_mc_current_monitor_1/adc_mon_data] + #connect_bd_net -net axi_mc_current_monitor_1_adc_dovf [get_bd_pins axi_mc_current_monitor_1/adc_dovf_i] + #connect_bd_net -net axi_mc_current_monitor_1_adc_dunf [get_bd_pins axi_mc_current_monitor_1/adc_dunf_i] + + connect_bd_net [get_bd_pins axi_mc_current_monitor_1/i_ready_o] [get_bd_pins axi_mc_torque_controller/i_ready_i] + + # interrupt + + connect_bd_net -net axi_current_monitor_1_dma_irq [get_bd_pins axi_current_monitor_1_dma/irq] [get_bd_pins sys_concat_intc/In2] + + # current monitor 2 + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_current_monitor_2/ref_clk] $sys_100m_clk_source + + connect_bd_net -net adc_ia_dat_d_i [get_bd_ports adc_ia_dat_d_i] [get_bd_pins axi_mc_current_monitor_2/adc_ia_dat_i] + connect_bd_net -net axi_mc_current_monitor_2_adc_ia_clk_o [get_bd_ports adc_ia_clk_d_o] [get_bd_pins axi_mc_current_monitor_2/adc_ia_clk_o] + connect_bd_net -net adc_ib_dat_d_i [get_bd_ports adc_ib_dat_d_i] [get_bd_pins axi_mc_current_monitor_2/adc_ib_dat_i] + connect_bd_net -net axi_mc_current_monitor_2_adc_ib_clk_o [get_bd_ports adc_ib_clk_d_o] [get_bd_pins axi_mc_current_monitor_2/adc_ib_clk_o] + connect_bd_net -net adc_it_dat_d_i [get_bd_ports adc_it_dat_d_i] [get_bd_pins axi_mc_current_monitor_2/adc_it_dat_i] + connect_bd_net -net axi_mc_current_monitor_2_adc_it_clk_o [get_bd_ports adc_it_clk_d_o] [get_bd_pins axi_mc_current_monitor_2/adc_it_clk_o] + + connect_bd_net -net axi_mc_current_monitor_2_adc_clk [get_bd_pins axi_mc_current_monitor_2/adc_clk_o] [get_bd_pins axi_current_monitor_2_dma/fifo_wr_clk] + connect_bd_net -net axi_mc_current_monitor_2_adc_dwr [get_bd_pins axi_mc_current_monitor_2/adc_dwr_o] [get_bd_pins axi_current_monitor_2_dma/fifo_wr_en] + connect_bd_net -net axi_mc_current_monitor_2_adc_ddata [get_bd_pins axi_mc_current_monitor_2/adc_ddata_o] [get_bd_pins axi_current_monitor_2_dma/fifo_wr_din] + connect_bd_net -net axi_mc_current_monitor_2_adc_dsync [get_bd_pins axi_mc_current_monitor_2/adc_dsync_o] [get_bd_pins axi_current_monitor_2_dma/fifo_wr_sync] + #connect_bd_net -net axi_mc_current_monitor_2_adc_dovf [get_bd_pins axi_mc_current_monitor_2/adc_dovf_i] + #connect_bd_net -net axi_mc_current_monitor_2_adc_dunf [get_bd_pins axi_mc_current_monitor_2/adc_dunf_i] + + #interrupt + + connect_bd_net -net axi_current_monitor_2_dma_irq [get_bd_pins axi_current_monitor_2_dma/irq] [get_bd_pins sys_concat_intc/In6] + + # speed detector + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_speed_1/ref_clk] $sys_100m_clk_source + + connect_bd_net -net axi_mc_speed_1_position_o [get_bd_pins axi_mc_speed_1/position_o] + connect_bd_net -net axi_mc_speed_1_position_o [get_bd_pins axi_mc_speed_1/position_o] [get_bd_pins axi_mc_torque_controller/position_i] + connect_bd_net -net axi_mc_speed_1_new_speed_o [get_bd_pins axi_mc_speed_1/new_speed_o] + connect_bd_net -net axi_mc_speed_1_new_speed_o [get_bd_pins axi_mc_speed_1/new_speed_o] [get_bd_pins axi_mc_torque_controller/new_speed_i] + connect_bd_net -net axi_mc_speed_1_speed_o [get_bd_pins axi_mc_speed_1/speed_o] + connect_bd_net -net axi_mc_speed_1_speed_o [get_bd_pins axi_mc_speed_1/speed_o] [get_bd_pins axi_mc_torque_controller/speed_i] + + connect_bd_net [get_bd_pins /axi_mc_torque_controller/fmc_m1_fault_i] [get_bd_ports /fmc_m1_fault_i] + + connect_bd_net -net speed_detector_adc_clk [get_bd_pins axi_mc_speed_1/adc_clk_o] [get_bd_pins axi_speed_detector_dma/fifo_wr_clk] + connect_bd_net -net speed_detector_adc_dwr [get_bd_pins axi_mc_speed_1/adc_dwr_o] [get_bd_pins axi_speed_detector_dma/fifo_wr_en] + connect_bd_net -net speed_detector_adc_ddata [get_bd_pins axi_mc_speed_1/adc_ddata_o] [get_bd_pins axi_speed_detector_dma/fifo_wr_din] + #connect_bd_net -net speed_detector_adc_dovf [get_bd_pins axi_mc_speed_1/adc_dovf_i] + #connect_bd_net -net speed_detector_adc_dunf [get_bd_pins axi_mc_speed_1/adc_dunf_i] + + # interrupt + + connect_bd_net -net axi_speed_detector_dma_irq [get_bd_pins axi_speed_detector_dma/irq] [get_bd_pins sys_concat_intc/In3] + + # torque controller + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_torque_controller/ref_clk] $sys_100m_clk_source + + connect_bd_net -net axi_mc_current_monitor_1_it_o [get_bd_pins axi_mc_current_monitor_1/it_o] [get_bd_pins axi_mc_torque_controller/it_i] + connect_bd_net -net axi_mc_torque_controller_fmc_m1_en_o [get_bd_ports fmc_m1_en_o] [get_bd_pins axi_mc_torque_controller/fmc_m1_en_o] + connect_bd_net -net axi_mc_torque_controller_pwm_al_o [get_bd_ports pwm_al_o] [get_bd_pins axi_mc_torque_controller/pwm_al_o] + connect_bd_net -net axi_mc_torque_controller_pwm_ah_o [get_bd_ports pwm_ah_o] [get_bd_pins axi_mc_torque_controller/pwm_ah_o] + connect_bd_net -net axi_mc_torque_controller_pwm_cl_o [get_bd_ports pwm_cl_o] [get_bd_pins axi_mc_torque_controller/pwm_cl_o] + connect_bd_net -net axi_mc_torque_controller_pwm_ch_o [get_bd_ports pwm_ch_o] [get_bd_pins axi_mc_torque_controller/pwm_ch_o] + connect_bd_net -net axi_mc_torque_controller_pwm_bl_o [get_bd_ports pwm_bl_o] [get_bd_pins axi_mc_torque_controller/pwm_bl_o] + connect_bd_net -net axi_mc_torque_controller_pwm_bh_o [get_bd_ports pwm_bh_o] [get_bd_pins axi_mc_torque_controller/pwm_bh_o] + connect_bd_net -net axi_mc_torque_controller_gpo_o [get_bd_ports gpo_o] [get_bd_pins axi_mc_torque_controller/gpo_o] + connect_bd_net -net axi_mc_torque_controller_sensors_o [get_bd_pins axi_mc_torque_controller/sensors_o] [get_bd_pins axi_mc_speed_1/hall_bemf_i] + + connect_bd_net -net axi_mc_torque_controller_adc_clk [get_bd_pins axi_mc_torque_controller/adc_clk_o] [get_bd_pins axi_torque_controller_dma/fifo_wr_clk] + connect_bd_net -net axi_mc_torque_controller_adc_dwr [get_bd_pins axi_mc_torque_controller/adc_dwr_o] [get_bd_pins axi_torque_controller_dma/fifo_wr_en] + connect_bd_net -net axi_mc_torque_controller_adc_ddata [get_bd_pins axi_mc_torque_controller/adc_ddata_o] [get_bd_pins axi_torque_controller_dma/fifo_wr_din] + #connect_bd_net -net axi_mc_torque_controller_adc_dsync [get_bd_pins axi_mc_torque_controller/adc_dsync_o] [get_bd_pins axi_torque_controller_dma/fifo_wr_sync] + #connect_bd_net -net axi_mc_torque_controller_adc_dovf [get_bd_pins axi_mc_torque_controller/adc_dovf_i] + #connect_bd_net -net axi_mc_torque_controller_adc_dunf [get_bd_pins axi_mc_torque_controller/adc_dunf_i] + + # interrupt + + connect_bd_net -net axi_torque_controller_dma_irq [get_bd_pins axi_torque_controller_dma/irq] [get_bd_pins sys_concat_intc/In5] + + # xadc + + connect_bd_net -net sys_100m_clk [get_bd_pins xadc_wiz_1/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins xadc_wiz_1/s_axi_aresetn] $sys_100m_resetn_source + + connect_bd_net -net vp_in_1 [get_bd_ports vp_in] [get_bd_pins xadc_wiz_1/vp_in] + connect_bd_net -net vn_in_1 [get_bd_ports vn_in] [get_bd_pins xadc_wiz_1/vn_in] + connect_bd_net -net vauxp0_1 [get_bd_ports vauxp0] [get_bd_pins xadc_wiz_1/vauxp0] + connect_bd_net -net vauxn0_1 [get_bd_ports vauxn0] [get_bd_pins xadc_wiz_1/vauxn0] + connect_bd_net -net vauxp8_1 [get_bd_ports vauxp8] [get_bd_pins xadc_wiz_1/vauxp8] + connect_bd_net -net vauxn8_1 [get_bd_ports vauxn8] [get_bd_pins xadc_wiz_1/vauxn8] + connect_bd_net -net xadc_wiz_1_muxaddr_out [get_bd_ports muxaddr_out] [get_bd_pins xadc_wiz_1/muxaddr_out] + + # ila + set ila_current_monitor [create_bd_cell -type ip -vlnv xilinx.com:ip:ila:3.0 ila_current_monitor] + set_property -dict [list CONFIG.C_NUM_OF_PROBES {5}] $ila_current_monitor + set_property -dict [list CONFIG.C_PROBE0_WIDTH {1}] $ila_current_monitor + set_property -dict [list CONFIG.C_PROBE1_WIDTH {1}] $ila_current_monitor + set_property -dict [list CONFIG.C_PROBE2_WIDTH {1}] $ila_current_monitor + set_property -dict [list CONFIG.C_PROBE3_WIDTH {64}] $ila_current_monitor + set_property -dict [list CONFIG.C_PROBE4_WIDTH {32}] $ila_current_monitor + set_property -dict [list CONFIG.C_EN_STRG_QUAL {1} ] $ila_current_monitor + set_property -dict [list CONFIG.C_ADV_TRIGGER {true}] $ila_current_monitor + + connect_bd_net -net axi_mc_current_monitor_1_adc_clk [get_bd_pins ila_current_monitor/probe0] + connect_bd_net -net axi_mc_current_monitor_1_adc_dwr [get_bd_pins ila_current_monitor/probe1] + connect_bd_net -net axi_mc_current_monitor_1_adc_dsync [get_bd_pins ila_current_monitor/probe2] + connect_bd_net -net axi_mc_current_monitor_1_adc_ddata [get_bd_pins ila_current_monitor/probe3] + connect_bd_net -net axi_mc_current_monitor_1_adc_mon_data [get_bd_pins ila_current_monitor/probe4] + connect_bd_net -net sys_100m_clk [get_bd_pins ila_current_monitor/clk] + + # interconnect (cpu) + + connect_bd_intf_net -intf_net axi_cpu_interconnect_m07_axi [get_bd_intf_pins axi_cpu_interconnect/M07_AXI] [get_bd_intf_pins axi_mc_current_monitor_1/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m08_axi [get_bd_intf_pins axi_cpu_interconnect/M08_AXI] [get_bd_intf_pins axi_mc_speed_1/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m09_axi [get_bd_intf_pins axi_cpu_interconnect/M09_AXI] [get_bd_intf_pins axi_mc_torque_controller/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m10_axi [get_bd_intf_pins axi_cpu_interconnect/M10_AXI] [get_bd_intf_pins axi_mc_current_monitor_2/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m11_axi [get_bd_intf_pins axi_cpu_interconnect/M11_AXI] [get_bd_intf_pins xadc_wiz_1/s_axi_lite] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m12_axi [get_bd_intf_pins axi_cpu_interconnect/M12_AXI] [get_bd_intf_pins axi_speed_detector_dma/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m13_axi [get_bd_intf_pins axi_cpu_interconnect/M13_AXI] [get_bd_intf_pins axi_current_monitor_1_dma/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m14_axi [get_bd_intf_pins axi_cpu_interconnect/M14_AXI] [get_bd_intf_pins axi_current_monitor_2_dma/s_axi] + connect_bd_intf_net -intf_net axi_cpu_interconnect_m15_axi [get_bd_intf_pins axi_cpu_interconnect/M15_AXI] [get_bd_intf_pins axi_torque_controller_dma/s_axi] + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M07_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M08_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M09_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M10_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M11_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M12_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M13_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M14_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_cpu_interconnect/M15_ACLK] $sys_100m_clk_source + + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M07_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M08_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M09_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M10_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M11_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M12_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M13_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M14_ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_cpu_interconnect/M15_ARESETN] $sys_100m_resetn_source + + #inteconnects (current monitor 1) + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_current_monitor_1/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mc_current_monitor_1/s_axi_aresetn] $sys_100m_resetn_source + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_current_monitor_1_dma/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_current_monitor_1_dma/s_axi_aresetn] $sys_100m_resetn_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_current_monitor_1_dma/m_dest_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_current_monitor_1_dma/m_dest_axi_aresetn] $sys_100m_resetn_source + + connect_bd_intf_net -intf_net axi_mem_interconnect_s01_axi [get_bd_intf_pins axi_mem_interconnect/S01_AXI] [get_bd_intf_pins axi_current_monitor_1_dma/m_dest_axi] + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/S01_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/S01_ARESETN] $sys_100m_resetn_source + + #interconnect (current monitor 2) + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_current_monitor_2/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mc_current_monitor_2/s_axi_aresetn] $sys_100m_resetn_source + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_current_monitor_2_dma/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_current_monitor_2_dma/s_axi_aresetn] $sys_100m_resetn_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_current_monitor_2_dma/m_dest_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_current_monitor_2_dma/m_dest_axi_aresetn] $sys_100m_resetn_source + + connect_bd_intf_net -intf_net axi_mem_interconnect_s02_axi [get_bd_intf_pins axi_mem_interconnect/S02_AXI] [get_bd_intf_pins axi_current_monitor_2_dma/m_dest_axi] + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/S02_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/S02_ARESETN] $sys_100m_resetn_source + + # interconnect (speed detector) + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_speed_1/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mc_speed_1/s_axi_aresetn] $sys_100m_resetn_source + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_speed_detector_dma/m_dest_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_speed_detector_dma/s_axi_aresetn] $sys_100m_resetn_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_speed_detector_dma/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_speed_detector_dma/m_dest_axi_aresetn] $sys_100m_resetn_source + + connect_bd_intf_net -intf_net axi_mem_interconnect_s00_axi [get_bd_intf_pins axi_mem_interconnect/S00_AXI] [get_bd_intf_pins axi_speed_detector_dma/m_dest_axi] + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/S00_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/S00_ARESETN] $sys_100m_resetn_source + + # interconnect (torque controller) + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mc_torque_controller/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mc_torque_controller/s_axi_aresetn] $sys_100m_resetn_source + + connect_bd_net -net sys_100m_clk [get_bd_pins axi_torque_controller_dma/s_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_torque_controller_dma/s_axi_aresetn] $sys_100m_resetn_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_torque_controller_dma/m_dest_axi_aclk] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_torque_controller_dma/m_dest_axi_aresetn] $sys_100m_resetn_source + + connect_bd_intf_net -intf_net axi_mem_interconnect_s03_axi [get_bd_intf_pins axi_mem_interconnect/S03_AXI] [get_bd_intf_pins axi_torque_controller_dma/m_dest_axi] + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/S03_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/S03_ARESETN] $sys_100m_resetn_source + + # interconnect (dmas) + + connect_bd_net -net sys_100m_clk [get_bd_pins sys_ps7/S_AXI_HP1_ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/ACLK] $sys_100m_clk_source + connect_bd_net -net sys_100m_clk [get_bd_pins axi_mem_interconnect/M00_ACLK] $sys_100m_clk_source + + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/ARESETN] $sys_100m_resetn_source + connect_bd_net -net sys_100m_resetn [get_bd_pins axi_mem_interconnect/M00_ARESETN] $sys_100m_resetn_source + + connect_bd_intf_net -intf_net axi_mem_interconnect_m00_axi [get_bd_intf_pins axi_mem_interconnect/M00_AXI] [get_bd_intf_pins sys_ps7/S_AXI_HP1] + + # address map + + create_bd_addr_seg -range 0x10000 -offset 0x40400000 $sys_addr_cntrl_space [get_bd_addr_segs axi_current_monitor_1_dma/s_axi/axi_lite] SEG_data_c_m_1_dma + create_bd_addr_seg -range 0x10000 -offset 0x40410000 $sys_addr_cntrl_space [get_bd_addr_segs axi_speed_detector_dma/s_axi/axi_lite] SEG_data_s_d_dma + create_bd_addr_seg -range 0x10000 -offset 0x40420000 $sys_addr_cntrl_space [get_bd_addr_segs axi_torque_controller_dma/s_axi/axi_lite] SEG_data_t_c_dma + create_bd_addr_seg -range 0x10000 -offset 0x40430000 $sys_addr_cntrl_space [get_bd_addr_segs axi_current_monitor_2_dma/s_axi/axi_lite] SEG_data_c_m_2_dma + create_bd_addr_seg -range 0x10000 -offset 0x40500000 $sys_addr_cntrl_space [get_bd_addr_segs axi_mc_current_monitor_1/s_axi/axi_lite] SEG_data_c_m_1 + create_bd_addr_seg -range 0x10000 -offset 0x40510000 $sys_addr_cntrl_space [get_bd_addr_segs axi_mc_speed_1/s_axi/axi_lite] SEG_data_s_d + create_bd_addr_seg -range 0x10000 -offset 0x40520000 $sys_addr_cntrl_space [get_bd_addr_segs axi_mc_torque_controller/s_axi/axi_lite] SEG_data_t_c + create_bd_addr_seg -range 0x10000 -offset 0x40530000 $sys_addr_cntrl_space [get_bd_addr_segs axi_mc_current_monitor_2/s_axi/axi_lite] SEG_data_c_m_2 + create_bd_addr_seg -range 0x10000 -offset 0x43200000 $sys_addr_cntrl_space [get_bd_addr_segs xadc_wiz_1/s_axi_lite/Reg] SEG_data_xadc + + create_bd_addr_seg -range $sys_mem_size -offset 0x00000000 [get_bd_addr_spaces axi_current_monitor_1_dma/m_dest_axi] [get_bd_addr_segs sys_ps7/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_sys_ps7_hp1_ddr_lowocm + create_bd_addr_seg -range $sys_mem_size -offset 0x00000000 [get_bd_addr_spaces axi_speed_detector_dma/m_dest_axi] [get_bd_addr_segs sys_ps7/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_sys_ps7_hp1_ddr_lowocm + create_bd_addr_seg -range $sys_mem_size -offset 0x00000000 [get_bd_addr_spaces axi_torque_controller_dma/m_dest_axi] [get_bd_addr_segs sys_ps7/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_sys_ps7_hp1_ddr_lowocm + create_bd_addr_seg -range $sys_mem_size -offset 0x00000000 [get_bd_addr_spaces axi_current_monitor_2_dma/m_dest_axi] [get_bd_addr_segs sys_ps7/S_AXI_HP1/HP1_DDR_LOWOCM] SEG_sys_ps7_hp1_ddr_lowocm diff --git a/projects/motor_control/zed/system_bd.tcl b/projects/motor_control/zed/system_bd.tcl new file mode 100755 index 000000000..64f056189 --- /dev/null +++ b/projects/motor_control/zed/system_bd.tcl @@ -0,0 +1,5 @@ + + + source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl + source ../common/motor_control_bd.tcl + diff --git a/projects/motor_control/zed/system_constr.xdc b/projects/motor_control/zed/system_constr.xdc new file mode 100755 index 000000000..9b1e7f2b7 --- /dev/null +++ b/projects/motor_control/zed/system_constr.xdc @@ -0,0 +1,112 @@ +# Motor Control + + +#Test +#reset_property -dict {PACKAGE_PIN IOSTANDARD } [get_ports gpio_bd[27]] ; ## XADC-GIO0 +#reset_property -dict {PACKAGE_PIN IOSTANDARD } [get_ports gpio_bd[28]] ; ## XADC-GIO1 +#reset_property -dict {PACKAGE_PIN IOSTANDARD } [get_ports gpio_bd[29]] ; ## XADC-GIO2 +#reset_property -dict {PACKAGE_PIN IOSTANDARD } [get_ports gpio_bd[30]] ; ## XADC-GIO3 + +set_property -dict {PACKAGE_PIN Y21 IOSTANDARD LVCMOS33} [get_ports gpio_bd[27]] ; ## XADC-GIO0 +set_property -dict {PACKAGE_PIN Y20 IOSTANDARD LVCMOS33} [get_ports gpio_bd[28]] ; ## XADC-GIO1 +set_property -dict {PACKAGE_PIN AB20 IOSTANDARD LVCMOS33} [get_ports gpio_bd[29]] ; ## XADC-GIO2 +set_property -dict {PACKAGE_PIN AB19 IOSTANDARD LVCMOS33} [get_ports gpio_bd[30]] ; ## XADC-GIO3 + +#End Test + + +set_property PACKAGE_PIN J16 [get_ports {position_i[0]}] +set_property IOSTANDARD LVCMOS25 [get_ports {position_i[0]}] +set_property PACKAGE_PIN J17 [get_ports {position_i[1]}] +set_property IOSTANDARD LVCMOS25 [get_ports {position_i[1]}] +set_property PACKAGE_PIN G15 [get_ports {position_i[2]}] +set_property IOSTANDARD LVCMOS25 [get_ports {position_i[2]}] + +set_property PACKAGE_PIN A16 [get_ports pwm_ah_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_ah_o] +set_property PACKAGE_PIN A17 [get_ports pwm_al_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_al_o] +set_property PACKAGE_PIN C15 [get_ports pwm_bh_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_bh_o] +set_property PACKAGE_PIN B15 [get_ports pwm_bl_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_bl_o] +set_property PACKAGE_PIN A21 [get_ports pwm_ch_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_ch_o] +set_property PACKAGE_PIN A22 [get_ports pwm_cl_o] +set_property IOSTANDARD LVCMOS25 [get_ports pwm_cl_o] +set_property PACKAGE_PIN L21 [get_ports fmc_m1_en_o] +set_property IOSTANDARD LVCMOS25 [get_ports fmc_m1_en_o] +set_property PACKAGE_PIN L22 [get_ports fmc_m1_fault_i] +set_property IOSTANDARD LVCMOS25 [get_ports fmc_m1_fault_i] + +set_property PACKAGE_PIN T16 [get_ports adc_ia_dat_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ia_dat_i] +set_property PACKAGE_PIN T17 [get_ports adc_ib_dat_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ib_dat_i] +set_property PACKAGE_PIN N17 [get_ports adc_it_dat_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_it_dat_i] +set_property PACKAGE_PIN N18 [get_ports adc_vbus_dat_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_vbus_dat_i] + +set_property PACKAGE_PIN P17 [get_ports adc_ia_clk_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ia_clk_o] +set_property PACKAGE_PIN P18 [get_ports adc_ib_clk_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ib_clk_o] +set_property PACKAGE_PIN M21 [get_ports adc_it_clk_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_it_clk_o] +set_property PACKAGE_PIN M22 [get_ports adc_vbus_clk_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_vbus_clk_o] + +set_property PACKAGE_PIN A18 [get_ports {gpo_o[0]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[0]}] +set_property PACKAGE_PIN A19 [get_ports {gpo_o[1]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[1]}] +set_property PACKAGE_PIN R19 [get_ports {gpo_o[2]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[2]}] +set_property PACKAGE_PIN T19 [get_ports {gpo_o[3]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[3]}] +set_property PACKAGE_PIN D21 [get_ports {gpo_o[4]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[4]}] +set_property PACKAGE_PIN J22 [get_ports {gpo_o[5]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[5]}] +set_property PACKAGE_PIN G16 [get_ports {gpo_o[6]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[6]}] +set_property PACKAGE_PIN M19 [get_ports {gpo_o[7]}] +set_property IOSTANDARD LVCMOS25 [get_ports {gpo_o[7]}] + +set_property PACKAGE_PIN B17 [get_ports adc_ia_dat_d_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ia_dat_d_i] +set_property PACKAGE_PIN B21 [get_ports adc_ib_dat_d_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ib_dat_d_i] +set_property PACKAGE_PIN B22 [get_ports adc_it_dat_d_i] +set_property IOSTANDARD LVCMOS25 [get_ports adc_it_dat_d_i] + +set_property PACKAGE_PIN D20 [get_ports adc_ia_clk_d_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ia_clk_d_o] +set_property PACKAGE_PIN C20 [get_ports adc_ib_clk_d_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_ib_clk_d_o] +set_property PACKAGE_PIN E21 [get_ports adc_it_clk_d_o] +set_property IOSTANDARD LVCMOS25 [get_ports adc_it_clk_d_o] + + +set_property PACKAGE_PIN H15 [get_ports {muxaddr_out[0]}] +set_property IOSTANDARD LVCMOS25 [get_ports {muxaddr_out[0]}] +set_property PACKAGE_PIN R15 [get_ports {muxaddr_out[1]}] +set_property IOSTANDARD LVCMOS25 [get_ports {muxaddr_out[1]}] +set_property PACKAGE_PIN K15 [get_ports {muxaddr_out[2]}] +set_property IOSTANDARD LVCMOS25 [get_ports {muxaddr_out[2]}] +set_property PACKAGE_PIN J15 [get_ports {muxaddr_out[3]}] +set_property IOSTANDARD LVCMOS25 [get_ports {muxaddr_out[3]}] + +set_property PACKAGE_PIN E16 [get_ports vauxn0] +set_property IOSTANDARD LVCMOS25 [get_ports vauxn0] +set_property PACKAGE_PIN D17 [get_ports vauxn8] +set_property IOSTANDARD LVCMOS25 [get_ports vauxn8] +set_property PACKAGE_PIN F16 [get_ports vauxp0] +set_property IOSTANDARD LVCMOS25 [get_ports vauxp0] +set_property PACKAGE_PIN D16 [get_ports vauxp8] +set_property IOSTANDARD LVCMOS25 [get_ports vauxp8] +set_property PACKAGE_PIN M12 [get_ports vn_in] +set_property IOSTANDARD LVCMOS25 [get_ports vn_in] +set_property PACKAGE_PIN L11 [get_ports vp_in] +set_property IOSTANDARD LVCMOS25 [get_ports vp_in] diff --git a/projects/motor_control/zed/system_project.tcl b/projects/motor_control/zed/system_project.tcl new file mode 100755 index 000000000..4964969bb --- /dev/null +++ b/projects/motor_control/zed/system_project.tcl @@ -0,0 +1,13 @@ + +source ../../scripts/adi_env.tcl +source $ad_hdl_dir/projects/scripts/adi_project.tcl + +adi_project_create motor_control_zed +adi_project_files motor_control_zed [list \ + "system_top.v" \ + "system_constr.xdc" \ + "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] + +adi_project_run motor_control_zed + + diff --git a/projects/motor_control/zed/system_top.v b/projects/motor_control/zed/system_top.v new file mode 100755 index 000000000..7557e3966 --- /dev/null +++ b/projects/motor_control/zed/system_top.v @@ -0,0 +1,313 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2014(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module system_top ( + + DDR_addr, + DDR_ba, + DDR_cas_n, + DDR_ck_n, + DDR_ck_p, + DDR_cke, + DDR_cs_n, + DDR_dm, + DDR_dq, + DDR_dqs_n, + DDR_dqs_p, + DDR_odt, + DDR_ras_n, + DDR_reset_n, + DDR_we_n, + + FIXED_IO_ddr_vrn, + FIXED_IO_ddr_vrp, + FIXED_IO_mio, + FIXED_IO_ps_clk, + FIXED_IO_ps_porb, + FIXED_IO_ps_srstb, + + gpio_bd, + + hdmi_out_clk, + hdmi_vsync, + hdmi_hsync, + hdmi_data_e, + hdmi_data, + + adc_ia_clk_d_o, + adc_ia_clk_o, + adc_ia_dat_d_i, + adc_ia_dat_i, + adc_ib_clk_d_o, + adc_ib_clk_o, + adc_ib_dat_d_i, + adc_ib_dat_i, + adc_it_clk_d_o, + adc_it_clk_o, + adc_it_dat_d_i, + adc_it_dat_i, + adc_vbus_clk_o, + adc_vbus_dat_i, + fmc_m1_en_o, + fmc_m1_fault_i, + gpo_o, + position_i, + pwm_ah_o, + pwm_al_o, + pwm_bh_o, + pwm_bl_o, + pwm_ch_o, + pwm_cl_o, + + vauxn0, + vauxn8, + vauxp0, + vauxp8, + vn_in, + vp_in, + muxaddr_out, + + i2s_mclk, + i2s_bclk, + i2s_lrclk, + i2s_sdata_out, + i2s_sdata_in, + + spdif, + + iic_scl, + iic_sda, + iic_mux_scl, + iic_mux_sda, + + otg_vbusoc); + + inout [14:0] DDR_addr; + inout [ 2:0] DDR_ba; + inout DDR_cas_n; + inout DDR_ck_n; + inout DDR_ck_p; + inout DDR_cke; + inout DDR_cs_n; + inout [ 3:0] DDR_dm; + inout [31:0] DDR_dq; + inout [ 3:0] DDR_dqs_n; + inout [ 3:0] DDR_dqs_p; + inout DDR_odt; + inout DDR_ras_n; + inout DDR_reset_n; + inout DDR_we_n; + + inout FIXED_IO_ddr_vrn; + inout FIXED_IO_ddr_vrp; + inout [53:0] FIXED_IO_mio; + inout FIXED_IO_ps_clk; + inout FIXED_IO_ps_porb; + inout FIXED_IO_ps_srstb; + + inout [31:0] gpio_bd; + + output hdmi_out_clk; + output hdmi_vsync; + output hdmi_hsync; + output hdmi_data_e; + output [15:0] hdmi_data; + + output adc_ia_clk_d_o; + output adc_ia_clk_o; + input adc_ia_dat_d_i; + input adc_ia_dat_i; + output adc_ib_clk_d_o; + output adc_ib_clk_o; + input adc_ib_dat_d_i; + input adc_ib_dat_i; + output adc_it_clk_d_o; + output adc_it_clk_o; + input adc_it_dat_d_i; + input adc_it_dat_i; + output adc_vbus_clk_o; + input adc_vbus_dat_i; + output fmc_m1_en_o; + input fmc_m1_fault_i; + output [7:0] gpo_o; + input [2:0] position_i; + output pwm_ah_o; + output pwm_al_o; + output pwm_bh_o; + output pwm_bl_o; + output pwm_ch_o; + output pwm_cl_o; + + input vauxn0; + input vauxn8; + input vauxp0; + input vauxp8; + input vn_in; + input vp_in; + output [3:0]muxaddr_out; + + output spdif; + + output i2s_mclk; + output i2s_bclk; + output i2s_lrclk; + output i2s_sdata_out; + input i2s_sdata_in; + + + inout iic_scl; + inout iic_sda; + inout [ 1:0] iic_mux_scl; + inout [ 1:0] iic_mux_sda; + + input otg_vbusoc; + + // internal signals + + wire [31:0] gpio_i; + wire [31:0] gpio_o; + wire [31:0] gpio_t; + wire [ 1:0] iic_mux_scl_i_s; + wire [ 1:0] iic_mux_scl_o_s; + wire iic_mux_scl_t_s; + wire [ 1:0] iic_mux_sda_i_s; + wire [ 1:0] iic_mux_sda_o_s; + wire iic_mux_sda_t_s; + + // instantiations + + genvar n; + generate + for (n = 0; n <= 31; n = n + 1) begin: g_iobuf_gpio_bd + IOBUF i_iobuf_gpio_bd ( + .I (gpio_o[n]), + .O (gpio_i[n]), + .T (gpio_t[n]), + .IO (gpio_bd[n])); + end + endgenerate + + IOBUF i_iic_mux_scl_0 (.I(iic_mux_scl_o_s[0]), .O(iic_mux_scl_i_s[0]), .T(iic_mux_scl_t_s), .IO(iic_mux_scl[0])); + IOBUF i_iic_mux_scl_1 (.I(iic_mux_scl_o_s[1]), .O(iic_mux_scl_i_s[1]), .T(iic_mux_scl_t_s), .IO(iic_mux_scl[1])); + IOBUF i_iic_mux_sda_0 (.I(iic_mux_sda_o_s[0]), .O(iic_mux_sda_i_s[0]), .T(iic_mux_sda_t_s), .IO(iic_mux_sda[0])); + IOBUF i_iic_mux_sda_1 (.I(iic_mux_sda_o_s[1]), .O(iic_mux_sda_i_s[1]), .T(iic_mux_sda_t_s), .IO(iic_mux_sda[1])); + + system_wrapper i_system_wrapper ( + .DDR_addr (DDR_addr), + .DDR_ba (DDR_ba), + .DDR_cas_n (DDR_cas_n), + .DDR_ck_n (DDR_ck_n), + .DDR_ck_p (DDR_ck_p), + .DDR_cke (DDR_cke), + .DDR_cs_n (DDR_cs_n), + .DDR_dm (DDR_dm), + .DDR_dq (DDR_dq), + .DDR_dqs_n (DDR_dqs_n), + .DDR_dqs_p (DDR_dqs_p), + .DDR_odt (DDR_odt), + .DDR_ras_n (DDR_ras_n), + .DDR_reset_n (DDR_reset_n), + .DDR_we_n (DDR_we_n), + .FIXED_IO_ddr_vrn (FIXED_IO_ddr_vrn), + .FIXED_IO_ddr_vrp (FIXED_IO_ddr_vrp), + .FIXED_IO_mio (FIXED_IO_mio), + .FIXED_IO_ps_clk (FIXED_IO_ps_clk), + .FIXED_IO_ps_porb (FIXED_IO_ps_porb), + .FIXED_IO_ps_srstb (FIXED_IO_ps_srstb), + .GPIO_I (gpio_i), + .GPIO_O (gpio_o), + .GPIO_T (gpio_t), + .hdmi_data (hdmi_data), + .hdmi_data_e (hdmi_data_e), + .hdmi_hsync (hdmi_hsync), + .hdmi_out_clk (hdmi_out_clk), + .hdmi_vsync (hdmi_vsync), + .adc_ia_clk_d_o(adc_ia_clk_d_o), + .adc_ia_clk_o(adc_ia_clk_o), + .adc_ia_dat_d_i(adc_ia_dat_d_i), + .adc_ia_dat_i(adc_ia_dat_i), + .adc_ib_clk_d_o(adc_ib_clk_d_o), + .adc_ib_clk_o(adc_ib_clk_o), + .adc_ib_dat_d_i(adc_ib_dat_d_i), + .adc_ib_dat_i(adc_ib_dat_i), + .adc_it_clk_d_o(adc_it_clk_d_o), + .adc_it_clk_o(adc_it_clk_o), + .adc_it_dat_d_i(adc_it_dat_d_i), + .adc_it_dat_i(adc_it_dat_i), + .adc_vbus_clk_o(adc_vbus_clk_o), + .adc_vbus_dat_i(adc_vbus_dat_i), + .fmc_m1_en_o(fmc_m1_en_o), + .fmc_m1_fault_i(fmc_m1_fault_i), + .gpo_o(gpo_o), + .position_i(position_i), + .pwm_ah_o(pwm_ah_o), + .pwm_al_o(pwm_al_o), + .pwm_bh_o(pwm_bh_o), + .pwm_bl_o(pwm_bl_o), + .pwm_ch_o(pwm_ch_o), + .pwm_cl_o(pwm_cl_o), + .vauxn0(vauxn0), + .vauxn8(vauxn8), + .vauxp0(vauxp0), + .vauxp8(vauxp8), + .vn_in(vn_in), + .vp_in(vp_in), + .muxaddr_out(muxaddr_out), + .i2s_bclk (i2s_bclk), + .i2s_lrclk (i2s_lrclk), + .i2s_mclk (i2s_mclk), + .i2s_sdata_in (i2s_sdata_in), + .i2s_sdata_out (i2s_sdata_out), + .iic_fmc_scl_io (iic_scl), + .iic_fmc_sda_io (iic_sda), + .iic_mux_scl_I (iic_mux_scl_i_s), + .iic_mux_scl_O (iic_mux_scl_o_s), + .iic_mux_scl_T (iic_mux_scl_t_s), + .iic_mux_sda_I (iic_mux_sda_i_s), + .iic_mux_sda_O (iic_mux_sda_o_s), + .iic_mux_sda_T (iic_mux_sda_t_s), + .otg_vbusoc (otg_vbusoc), + .spdif (spdif)); + +endmodule + +// *************************************************************************** +// ***************************************************************************