diff --git a/projects/pzsdr1/common/pzsdr1_constr_lvds.xdc b/projects/pzsdr1/common/pzsdr1_constr_lvds.xdc index c5e8e10c4..da996fea6 100644 --- a/projects/pzsdr1/common/pzsdr1_constr_lvds.xdc +++ b/projects/pzsdr1/common/pzsdr1_constr_lvds.xdc @@ -37,6 +37,6 @@ set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVDS_25} [get_ports tx_data_o # clocks -create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p] -create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk] +create_clock -name rx_clk -period 8 [get_ports rx_clk_in_p] +create_clock -name ad9361_clk -period 8 [get_pins i_system_wrapper/system_i/axi_ad9361/clk]