From 24daffcf5c97b2b3ae3abe62d1673aec4324bd49 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Tue, 7 Feb 2017 12:30:46 +0200 Subject: [PATCH] spi_engine: Set up default driver value for input ports --- library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl | 4 ++++ .../spi_engine_execution/spi_engine_execution_ip.tcl | 4 ++++ 2 files changed, 8 insertions(+) diff --git a/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl b/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl index 22084b53b..bb4e0e1c5 100644 --- a/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl +++ b/library/spi_engine/axi_spi_engine/axi_spi_engine_ip.tcl @@ -56,4 +56,8 @@ adi_add_bus "spi_engine_offload_ctrl0" "master" \ adi_add_bus_clock "s_axi_aclk" "spi_engine_offload_ctrl0:s_axi" "s_axi_aresetn" +foreach port {"up_clk" "up_rstn" "up_wreq" "up_waddr" "up_wdata" "up_rreq" "up_raddr"} { + set_property DRIVER_VALUE "0" [ipx::get_ports $port] +} + ipx::save_core [ipx::current_core] diff --git a/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl b/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl index d7a51e00b..f0100f65c 100644 --- a/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl +++ b/library/spi_engine/spi_engine_execution/spi_engine_execution_ip.tcl @@ -43,4 +43,8 @@ adi_add_bus "spi" "master" \ } adi_add_bus_clock "clk" "spi" "resetn" +foreach port {"sdi_1" "sdi_2" "sdi_3"} { + set_property DRIVER_VALUE "0" [ipx::get_ports $port] +} + ipx::save_core [ipx::current_core]