fmcomms2/freqcvt: Update SPI interface I/O

main
Istvan Csomortani 2015-06-05 18:16:14 +03:00
parent f1e75963a2
commit 25f1ad73f0
4 changed files with 33 additions and 14 deletions

View File

@ -61,9 +61,13 @@ set_property -dict {PACKAGE_PIN AJ29 IOSTANDARD LVCMOS25} [get_ports spi_miso
# spi pmod J58
set_property -dict {PACKAGE_PIN AJ21 IOSTANDARD LVCMOS25} [get_ports spi_udc_csn_tx] ; ## PMOD1_0_LS
set_property -dict {PACKAGE_PIN AK21 IOSTANDARD LVCMOS25} [get_ports spi_udc_csn_rx] ; ## PMOD1_1_LS
set_property -dict {PACKAGE_PIN Y20 IOSTANDARD LVCMOS25} [get_ports spi_udc_csn_rx] ; ## PMOD1_4_LS
set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS25} [get_ports spi_udc_sclk] ; ## PMOD1_3_LS
set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports spi_udc_data] ; ## PMOD1_2_LS
set_property -dict {PACKAGE_PIN AK21 IOSTANDARD LVCMOS25} [get_ports spi_udc_data] ; ## PMOD1_1_LS
set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports gpio_muxout_tx] ; ## PMOD1_2_LS
set_property -dict {PACKAGE_PIN AC18 IOSTANDARD LVCMOS25} [get_ports gpio_muxout_rx] ; ## PMOD1_6_LS
# clocks

View File

@ -92,6 +92,8 @@ module system_top (
enable,
txnrx,
gpio_muxout_tx,
gpio_muxout_rx,
gpio_resetb,
gpio_sync,
gpio_en_agc,
@ -159,6 +161,8 @@ module system_top (
output enable;
output txnrx;
inout gpio_muxout_tx;
inout gpio_muxout_rx;
inout gpio_resetb;
inout gpio_sync;
inout gpio_en_agc;
@ -204,11 +208,13 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf (
.dio_t (gpio_t[46:32]),
.dio_i (gpio_o[46:32]),
.dio_o (gpio_i[46:32]),
.dio_p ({ gpio_resetb,
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf (
.dio_t (gpio_t[49:32]),
.dio_i (gpio_o[49:32]),
.dio_o (gpio_i[49:32]),
.dio_p ({ gpio_muxout_tx,
gpio_muxout_rx,
gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,

View File

@ -61,9 +61,12 @@ set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports spi_miso]
# spi pmod JA1
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_tx] ; ## JA1
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_rx] ; ## JA2
set_property -dict {PACKAGE_PIN AB11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_rx] ; ## JA7
set_property -dict {PACKAGE_PIN AA9 IOSTANDARD LVCMOS33} [get_ports spi_udc_sclk] ; ## JA4
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS33} [get_ports spi_udc_data] ; ## JA3
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_udc_data] ; ## JA2
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS33} [get_ports gpio_muxout_tx] ; ## JA3
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports gpio_muxout_rx] ; ## JA9
# clocks

View File

@ -100,6 +100,8 @@ module system_top (
tx_data_out_p,
tx_data_out_n,
gpio_muxout_tx,
gpio_muxout_rx,
gpio_txnrx,
gpio_enable,
gpio_resetb,
@ -177,6 +179,8 @@ module system_top (
output [ 5:0] tx_data_out_p;
output [ 5:0] tx_data_out_n;
inout gpio_muxout_tx;
inout gpio_muxout_rx;
inout gpio_txnrx;
inout gpio_enable;
inout gpio_resetb;
@ -209,11 +213,13 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(49)) i_iobuf_gpio (
.dio_t ({gpio_t[48:0]}),
.dio_i ({gpio_o[48:0]}),
.dio_o ({gpio_i[48:0]}),
.dio_p ({ gpio_txnrx,
ad_iobuf #(.DATA_WIDTH(51)) i_iobuf_gpio (
.dio_t ({gpio_t[50:0]}),
.dio_i ({gpio_o[50:0]}),
.dio_o ({gpio_i[50:0]}),
.dio_p ({ gpio_muxout_tx,
gpio_muxout_rx,
gpio_txnrx,
gpio_enable,
gpio_resetb,
gpio_sync,