util_rfifo: constraints

main
Rejeesh Kutty 2016-05-16 10:45:33 -04:00
parent 72151bb1a6
commit 31671bf9d5
2 changed files with 14 additions and 0 deletions

View File

@ -0,0 +1,5 @@
set_false_path -from [get_registers *dout_enable*] -to [get_registers *din_enable_m1*]
set_false_path -from [get_registers *dout_req_t*] -to [get_registers *din_req_t_m1*]
set_false_path -from [get_registers *din_unf*] -to [get_registers *dout_unf_m1*]

View File

@ -0,0 +1,9 @@
set_property shreg_extract no [get_cells -hier -filter {name =~ *din_enable_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *din_req_t_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *dout_unf_m*}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_enable* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_enable_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_req_t* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_req_t_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *din_unf* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_unf_m1* && IS_SEQUENTIAL}]