diff --git a/library/util_rfifo/util_rfifo_constr.sdc b/library/util_rfifo/util_rfifo_constr.sdc new file mode 100644 index 000000000..121bac542 --- /dev/null +++ b/library/util_rfifo/util_rfifo_constr.sdc @@ -0,0 +1,5 @@ + +set_false_path -from [get_registers *dout_enable*] -to [get_registers *din_enable_m1*] +set_false_path -from [get_registers *dout_req_t*] -to [get_registers *din_req_t_m1*] +set_false_path -from [get_registers *din_unf*] -to [get_registers *dout_unf_m1*] + diff --git a/library/util_rfifo/util_rfifo_constr.xdc b/library/util_rfifo/util_rfifo_constr.xdc new file mode 100644 index 000000000..cb8c395cf --- /dev/null +++ b/library/util_rfifo/util_rfifo_constr.xdc @@ -0,0 +1,9 @@ + +set_property shreg_extract no [get_cells -hier -filter {name =~ *din_enable_m*}] +set_property shreg_extract no [get_cells -hier -filter {name =~ *din_req_t_m*}] +set_property shreg_extract no [get_cells -hier -filter {name =~ *dout_unf_m*}] + +set_false_path -from [get_cells -hier -filter {name =~ *dout_enable* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_enable_m1* && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *dout_req_t* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_req_t_m1* && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *din_unf* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_unf_m1* && IS_SEQUENTIAL}] +