fmcomms2: Update constraints file paths

main
Istvan Csomortani 2017-03-30 12:18:26 +03:00
parent 8ba6012b6b
commit 31a5c674f2
2 changed files with 16 additions and 16 deletions

View File

@ -32,10 +32,10 @@ adi_ip_files axi_ad9361 [list \
"$ad_hdl_dir/library/common/up_dac_common.v" \
"$ad_hdl_dir/library/common/up_dac_channel.v" \
"$ad_hdl_dir/library/common/up_tdd_cntrl.v" \
"$ad_hdl_dir/library/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/common/up_clock_mon_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9361_constr.xdc" \
"xilinx/axi_ad9361_lvds_if.v" \
"xilinx/axi_ad9361_cmos_if.v" \
@ -51,10 +51,10 @@ adi_ip_files axi_ad9361 [list \
adi_ip_properties axi_ad9361
adi_ip_constraints axi_ad9361 [list \
"$ad_hdl_dir/library/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/common/up_clock_mon_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9361_constr.xdc"]
set_property driver_value 0 [ipx::get_ports *rx_clk_in* -of_objects [ipx::current_core]]

View File

@ -17,10 +17,10 @@ adi_ip_files axi_hdmi_tx [list \
"$ad_hdl_dir/library/common/up_xfer_status.v" \
"$ad_hdl_dir/library/common/up_clock_mon.v" \
"$ad_hdl_dir/library/common/up_hdmi_tx.v" \
"$ad_hdl_dir/library/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/common/up_clock_mon_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_hdmi_tx_constr.xdc" \
"axi_hdmi_tx_vdma.v" \
"axi_hdmi_tx_es.v" \
@ -30,10 +30,10 @@ adi_ip_files axi_hdmi_tx [list \
adi_ip_properties axi_hdmi_tx
adi_ip_constraints axi_hdmi_tx [list \
"$ad_hdl_dir/library/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/common/up_clock_mon_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_hdmi_tx_constr.xdc"]
ipx::remove_bus_interface hdmi_clk [ipx::current_core]