ad_dcfilter: Enable output registers in DSP48E1

Pipelining the DSP48 output will improve performance and often saves power so
it is suggested whenever possible to fully pipeline this function.
main
Istvan Csomortani 2018-03-16 14:11:50 +00:00 committed by István Csomortáni
parent 7824f79fc0
commit 3277ea4be0
1 changed files with 1 additions and 1 deletions

View File

@ -133,7 +133,7 @@ module ad_dcfilter #(
.MREG (1), .MREG (1),
.OPMODEREG (0), .OPMODEREG (0),
.PATTERN (48'h000000000000), .PATTERN (48'h000000000000),
.PREG (0), .PREG (1),
.SEL_MASK ("MASK"), .SEL_MASK ("MASK"),
.SEL_PATTERN ("PATTERN"), .SEL_PATTERN ("PATTERN"),
.USE_DPORT ("TRUE"), .USE_DPORT ("TRUE"),