Regenerate library Makefiles using the new shared Makefile include

This reduces the amount of boilerplate code that is present in these
Makefiles by a lot.

It also makes it possible to update the Makefile rules in future without
having to re-generate all the Makefiles.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
main
Lars-Peter Clausen 2018-03-23 10:19:51 +01:00 committed by István Csomortáni
parent 2b108c63db
commit 35a39ba2e6
84 changed files with 364 additions and 3331 deletions

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad5766
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_axi.v
@ -12,52 +12,15 @@ M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../common/util_pulse_gen.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_ad5766.v
M_DEPS += axi_ad5766_ip.tcl
M_DEPS += up_ad5766_sequencer.v
M_DEPS += ../util_cdc/util_cdc.xpr
M_DEPS += ../spi_engine/interfaces/spi_engine_ctrl.xml
M_DEPS += ../spi_engine/interfaces/spi_engine_ctrl_rtl.xml
M_DEPS += ../spi_engine/interfaces/spi_engine_offload_ctrl.xml
M_DEPS += ../spi_engine/interfaces/spi_engine_offload_ctrl_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_ad5766.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad5766.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad5766_ip.tcl >> axi_ad5766_ip.log 2>&1
dep:
$(MAKE) -C ../util_cdc/
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad6676
M_DEPS += ../common/ad_pnmon.v
M_DEPS += ../common/ad_rst.v
@ -14,8 +14,6 @@ M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -27,37 +25,4 @@ M_DEPS += axi_ad6676_if.v
M_DEPS += axi_ad6676_ip.tcl
M_DEPS += axi_ad6676_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad6676.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad6676.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,61 +1,21 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad7616
M_DEPS += ../common/ad_edge_detect.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_ad7616.v
M_DEPS += axi_ad7616_control.v
M_DEPS += axi_ad7616_ip.tcl
M_DEPS += axi_ad7616_maxis2wrfifo.v
M_DEPS += axi_ad7616_pif.v
M_DEPS += ../spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../spi_engine/spi_engine_offload/spi_engine_offload.xpr
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_ad7616.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad7616.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad7616_ip.tcl >> axi_ad7616_ip.log 2>&1
dep:
$(MAKE) -C ../spi_engine/axi_spi_engine/
$(MAKE) -C ../spi_engine/spi_engine_execution/
$(MAKE) -C ../spi_engine/spi_engine_interconnect/
$(MAKE) -C ../spi_engine/spi_engine_offload/
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9122
M_DEPS += ../common/ad_dds.v
M_DEPS += ../common/ad_dds_1.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mmcm_drp.v
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
@ -32,37 +30,4 @@ M_DEPS += axi_ad9122_core.v
M_DEPS += axi_ad9122_if.v
M_DEPS += axi_ad9122_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9122.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9122.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9144
M_DEPS += ../common/ad_dds.v
M_DEPS += ../common/ad_dds_1.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -28,37 +26,4 @@ M_DEPS += axi_ad9144_core.v
M_DEPS += axi_ad9144_if.v
M_DEPS += axi_ad9144_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9144.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9144.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9152
M_DEPS += ../common/ad_dds.v
M_DEPS += ../common/ad_dds_1.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -28,37 +26,4 @@ M_DEPS += axi_ad9152_core.v
M_DEPS += axi_ad9152_if.v
M_DEPS += axi_ad9152_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9152.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9152.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9162
M_DEPS += ../common/ad_dds.v
M_DEPS += ../common/ad_dds_1.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -28,37 +26,4 @@ M_DEPS += axi_ad9162_core.v
M_DEPS += axi_ad9162_if.v
M_DEPS += axi_ad9162_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9162.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9162.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9162_ip.tcl >> axi_ad9162_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9250
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_pnmon.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -28,37 +26,4 @@ M_DEPS += axi_ad9250_if.v
M_DEPS += axi_ad9250_ip.tcl
M_DEPS += axi_ad9250_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9250.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9250.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9265
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_dcfilter.v
@ -16,8 +16,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_data_clk.v
M_DEPS += ../xilinx/common/ad_data_in.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
@ -31,37 +29,4 @@ M_DEPS += axi_ad9265_if.v
M_DEPS += axi_ad9265_ip.tcl
M_DEPS += axi_ad9265_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9265.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9265.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9361
M_DEPS += ../common/ad_addsub.v
M_DEPS += ../common/ad_datafmt.v
@ -26,8 +26,6 @@ M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_tdd_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_data_clk.v
M_DEPS += ../xilinx/common/ad_data_in.v
M_DEPS += ../xilinx/common/ad_data_out.v
@ -49,37 +47,4 @@ M_DEPS += axi_ad9361_tx_channel.v
M_DEPS += xilinx/axi_ad9361_cmos_if.v
M_DEPS += xilinx/axi_ad9361_lvds_if.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9361.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9361.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9371
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_dcfilter.v
@ -21,8 +21,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -37,37 +35,4 @@ M_DEPS += axi_ad9371_rx_os.v
M_DEPS += axi_ad9371_tx.v
M_DEPS += axi_ad9371_tx_channel.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9371.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9371.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9371_ip.tcl >> axi_ad9371_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9379
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_dcfilter.v
@ -21,8 +21,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -37,37 +35,4 @@ M_DEPS += axi_ad9379_rx_os.v
M_DEPS += axi_ad9379_tx.v
M_DEPS += axi_ad9379_tx_channel.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9379.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9379.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9379_ip.tcl >> axi_ad9379_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9434
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_pnmon.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mmcm_drp.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/ad_serdes_clk.v
@ -31,37 +29,4 @@ M_DEPS += axi_ad9434_if.v
M_DEPS += axi_ad9434_ip.tcl
M_DEPS += axi_ad9434_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9434.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9434.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9467
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_pnmon.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_data_clk.v
M_DEPS += ../xilinx/common/ad_data_in.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
@ -30,37 +28,4 @@ M_DEPS += axi_ad9467_if.v
M_DEPS += axi_ad9467_ip.tcl
M_DEPS += axi_ad9467_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9467.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9467.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9625
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_mem.v
@ -16,8 +16,6 @@ M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -29,37 +27,4 @@ M_DEPS += axi_ad9625_if.v
M_DEPS += axi_ad9625_ip.tcl
M_DEPS += axi_ad9625_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9625.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9625.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9671
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_mem.v
@ -16,8 +16,6 @@ M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -29,37 +27,4 @@ M_DEPS += axi_ad9671_if.v
M_DEPS += axi_ad9671_ip.tcl
M_DEPS += axi_ad9671_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9671.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9671.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9680
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_pnmon.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -27,37 +25,4 @@ M_DEPS += axi_ad9680_if.v
M_DEPS += axi_ad9680_ip.tcl
M_DEPS += axi_ad9680_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9680.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9680.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9684
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_pnmon.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mmcm_drp.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/ad_serdes_clk.v
@ -31,37 +29,4 @@ M_DEPS += axi_ad9684_if.v
M_DEPS += axi_ad9684_ip.tcl
M_DEPS += axi_ad9684_pnmon.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9684.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9684.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9684_ip.tcl >> axi_ad9684_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9739a
M_DEPS += ../common/ad_dds.v
M_DEPS += ../common/ad_dds_1.v
@ -15,8 +15,6 @@ M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/ad_serdes_out.v
@ -30,37 +28,4 @@ M_DEPS += axi_ad9739a_core.v
M_DEPS += axi_ad9739a_if.v
M_DEPS += axi_ad9739a_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9739a.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9739a.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_ad9963
M_DEPS += ../common/ad_datafmt.v
M_DEPS += ../common/ad_dcfilter.v
@ -22,8 +22,6 @@ M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_data_in.v
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
@ -40,37 +38,4 @@ M_DEPS += axi_ad9963_rx_pnmon.v
M_DEPS += axi_ad9963_tx.v
M_DEPS += axi_ad9963_tx_channel.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_ad9963.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_ad9963.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9963_ip.tcl >> axi_ad9963_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,15 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_adc_decimate
M_DEPS += ../common/ad_iqcor.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
M_DEPS += axi_adc_decimate.v
M_DEPS += axi_adc_decimate_filter.v
@ -18,41 +16,6 @@ M_DEPS += axi_adc_decimate_reg.v
M_DEPS += cic_decim.v
M_DEPS += fir_decim.v
M_DEPS += ../util_cic/util_cic.xpr
LIB_DEPS += util_cic
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_adc_decimate.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_adc_decimate.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_adc_decimate_ip.tcl >> axi_adc_decimate_ip.log 2>&1
dep:
$(MAKE) -C ../util_cic/
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,51 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_adc_trigger
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
M_DEPS += axi_adc_trigger.v
M_DEPS += axi_adc_trigger_constr.xdc
M_DEPS += axi_adc_trigger_ip.tcl
M_DEPS += axi_adc_trigger_reg.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_adc_trigger.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_adc_trigger.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_adc_trigger_ip.tcl >> axi_adc_trigger_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,51 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_clkgen
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clkgen.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mmcm_drp.v
M_DEPS += axi_clkgen.v
M_DEPS += axi_clkgen_constr.xdc
M_DEPS += axi_clkgen_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_clkgen.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_clkgen.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,15 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_dac_interpolate
M_DEPS += ../common/ad_iqcor.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
M_DEPS += axi_dac_interpolate.v
@ -19,37 +17,4 @@ M_DEPS += axi_dac_interpolate_reg.v
M_DEPS += cic_interp.v
M_DEPS += fir_interp.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_dac_interpolate.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_dac_interpolate.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_dac_interpolate_ip.tcl >> axi_dac_interpolate_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,13 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_dmac
M_DEPS += ../common/up_axi.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += 2d_transfer.v
M_DEPS += address_generator.v
M_DEPS += axi_dmac.v
@ -26,50 +24,13 @@ M_DEPS += src_axi_mm.v
M_DEPS += src_axi_stream.v
M_DEPS += src_fifo_inf.v
M_DEPS += ../util_axis_fifo/util_axis_fifo.xpr
M_DEPS += ../util_axis_resize/util_axis_resize.xpr
M_DEPS += ../util_cdc/util_cdc.xpr
M_DEPS += ../interfaces/fifo_rd.xml
M_DEPS += ../interfaces/fifo_rd_rtl.xml
M_DEPS += ../interfaces/fifo_wr.xml
M_DEPS += ../interfaces/fifo_wr_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += util_axis_fifo
LIB_DEPS += util_axis_resize
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_dmac.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_dmac.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1
dep:
$(MAKE) -C ../util_axis_fifo/
$(MAKE) -C ../util_axis_resize/
$(MAKE) -C ../util_cdc/
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,13 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_fmcadc5_sync
M_DEPS += ../common/up_axi.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_data_out.v
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += axi_fmcadc5_sync.v
@ -15,37 +13,4 @@ M_DEPS += axi_fmcadc5_sync_calcor.v
M_DEPS += axi_fmcadc5_sync_constr.xdc
M_DEPS += axi_fmcadc5_sync_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_fmcadc5_sync.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_fmcadc5_sync.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_fmcadc5_sync_ip.tcl >> axi_fmcadc5_sync_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_generic_adc
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_adc_channel.v
@ -13,42 +13,7 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_generic_adc.v
M_DEPS += axi_generic_adc_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_generic_adc.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_generic_adc.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_generic_adc_ip.tcl >> axi_generic_adc_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,52 +1,17 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_gpreg
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_gpreg.v
M_DEPS += axi_gpreg_clock_mon.v
M_DEPS += axi_gpreg_constr.xdc
M_DEPS += axi_gpreg_io.v
M_DEPS += axi_gpreg_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_gpreg.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_gpreg.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_gpreg_ip.tcl >> axi_gpreg_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_hdmi_rx
M_DEPS += ../common/ad_csc_1.v
M_DEPS += ../common/ad_csc_1_add.v
@ -16,8 +16,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_hdmi_rx.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
@ -29,37 +27,4 @@ M_DEPS += axi_hdmi_rx_es.v
M_DEPS += axi_hdmi_rx_ip.tcl
M_DEPS += axi_hdmi_rx_tpm.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_hdmi_rx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_hdmi_rx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_hdmi_tx
M_DEPS += ../common/ad_csc_1.v
M_DEPS += ../common/ad_csc_1_add.v
@ -17,8 +17,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_hdmi_tx.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_mul.v
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_clock_mon_constr.xdc
@ -31,37 +29,4 @@ M_DEPS += axi_hdmi_tx_es.v
M_DEPS += axi_hdmi_tx_ip.tcl
M_DEPS += axi_hdmi_tx_vdma.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_hdmi_tx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_hdmi_tx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,17 +1,15 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_i2s_adi
M_DEPS += ../common/axi_ctrlif.vhd
M_DEPS += ../common/axi_streaming_dma_rx_fifo.vhd
M_DEPS += ../common/axi_streaming_dma_tx_fifo.vhd
M_DEPS += ../common/dma_fifo.vhd
M_DEPS += ../common/pl330_dma_fifo.vhd
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_i2s_adi.vhd
M_DEPS += axi_i2s_adi_constr.xdc
M_DEPS += axi_i2s_adi_ip.tcl
@ -24,37 +22,4 @@ M_DEPS += i2s_tx.vhd
M_DEPS += ../axi_i2s_adi/i2s.xml
M_DEPS += ../axi_i2s_adi/i2s_rtl.xml
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_i2s_adi.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_i2s_adi.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_intr_monitor
M_DEPS += ../common/up_axi.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_intr_monitor.v
M_DEPS += axi_intr_monitor_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_intr_monitor.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_intr_monitor.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_intr_monitor_ip.tcl >> axi_intr_monitor_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,16 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_logic_analyzer
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../xilinx/common/ad_rst_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc
M_DEPS += ../xilinx/common/up_xfer_status_constr.xdc
@ -20,37 +18,4 @@ M_DEPS += axi_logic_analyzer_ip.tcl
M_DEPS += axi_logic_analyzer_reg.v
M_DEPS += axi_logic_analyzer_trigger.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_logic_analyzer.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_logic_analyzer.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_logic_analyzer_ip.tcl >> axi_logic_analyzer_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_mc_controller
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_adc_channel.v
@ -13,8 +13,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_mc_controller.v
M_DEPS += axi_mc_controller_constr.xdc
M_DEPS += axi_mc_controller_ip.tcl
@ -22,37 +20,4 @@ M_DEPS += control_registers.v
M_DEPS += delay.v
M_DEPS += motor_driver.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_mc_controller.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_mc_controller.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_mc_current_monitor
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_adc_channel.v
@ -13,45 +13,10 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ad7401.v
M_DEPS += axi_mc_current_monitor.v
M_DEPS += axi_mc_current_monitor_constr.xdc
M_DEPS += axi_mc_current_monitor_ip.tcl
M_DEPS += dec256sinc24b.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_mc_current_monitor.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_mc_current_monitor.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,9 +1,9 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_mc_speed
M_DEPS += ../common/ad_rst.v
M_DEPS += ../common/up_adc_channel.v
@ -13,8 +13,6 @@ M_DEPS += ../common/up_clock_mon.v
M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_xfer_cntrl.v
M_DEPS += ../common/up_xfer_status.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_mc_speed.v
M_DEPS += axi_mc_speed_constr.xdc
M_DEPS += axi_mc_speed_ip.tcl
@ -22,37 +20,4 @@ M_DEPS += debouncer.v
M_DEPS += delay_30_degrees.v
M_DEPS += speed_detector.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_mc_speed.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_mc_speed.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := axi_rd_wr_combiner
M_DEPS += axi_rd_wr_combiner.v
M_DEPS += axi_rd_wr_combiner_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_rd_wr_combiner.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_rd_wr_combiner.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_rd_wr_combiner_ip.tcl >> axi_rd_wr_combiner_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,16 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_spdif_rx
M_DEPS += ../common/axi_ctrlif.vhd
M_DEPS += ../common/axi_streaming_dma_rx_fifo.vhd
M_DEPS += ../common/dma_fifo.vhd
M_DEPS += ../common/pl330_dma_fifo.vhd
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_spdif_rx.vhd
M_DEPS += axi_spdif_rx_constr.xdc
M_DEPS += axi_spdif_rx_ip.tcl
@ -19,37 +17,4 @@ M_DEPS += rx_package.vhd
M_DEPS += rx_phase_det.vhd
M_DEPS += rx_status_reg.vhd
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_spdif_rx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_spdif_rx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_spdif_rx_ip.tcl >> axi_spdif_rx_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,53 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_spdif_tx
M_DEPS += ../common/axi_ctrlif.vhd
M_DEPS += ../common/axi_streaming_dma_tx_fifo.vhd
M_DEPS += ../common/dma_fifo.vhd
M_DEPS += ../common/pl330_dma_fifo.vhd
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_spdif_tx.vhd
M_DEPS += axi_spdif_tx_constr.xdc
M_DEPS += axi_spdif_tx_ip.tcl
M_DEPS += tx_encoder.vhd
M_DEPS += tx_package.vhd
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_spdif_tx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_spdif_tx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,50 +1,15 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_usb_fx3
M_DEPS += ../common/up_axi.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += axi_usb_fx3.v
M_DEPS += axi_usb_fx3_core.v
M_DEPS += axi_usb_fx3_if.v
M_DEPS += axi_usb_fx3_ip.tcl
M_DEPS += axi_usb_fx3_reg.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_usb_fx3.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_usb_fx3.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_usb_fx3_ip.tcl >> axi_usb_fx3_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := cn0363_dma_sequencer
M_DEPS += cn0363_dma_sequencer.v
M_DEPS += cn0363_dma_sequencer_ip.tcl
M_DEPS += ../../interfaces/fifo_wr.xml
M_DEPS += ../../interfaces/fifo_wr_rtl.xml
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: cn0363_dma_sequencer.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
cn0363_dma_sequencer.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) cn0363_dma_sequencer_ip.tcl >> cn0363_dma_sequencer_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := cn0363_phase_data_sync
M_DEPS += cn0363_phase_data_sync.v
M_DEPS += cn0363_phase_data_sync_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: cn0363_phase_data_sync.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
cn0363_phase_data_sync.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) cn0363_phase_data_sync_ip.tcl >> cn0363_phase_data_sync_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := cordic_demod
M_DEPS += cordic_demod.v
M_DEPS += cordic_demod_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: cordic_demod.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
cordic_demod.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) cordic_demod_ip.tcl >> cordic_demod_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := axi_jesd204_common
M_DEPS += axi_jesd204_common_ip.tcl
M_DEPS += jesd204_up_common.v
M_DEPS += jesd204_up_sysref.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_jesd204_common.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_jesd204_common.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_jesd204_common_ip.tcl >> axi_jesd204_common_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,14 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_jesd204_rx
M_DEPS += ../../common/up_axi.v
M_DEPS += ../../common/up_clock_mon.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += ../../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += axi_jesd204_rx.v
M_DEPS += axi_jesd204_rx_constr.xdc
@ -17,9 +15,6 @@ M_DEPS += jesd204_up_ilas_mem.v
M_DEPS += jesd204_up_rx.v
M_DEPS += jesd204_up_rx_lane.v
M_DEPS += ../../jesd204/axi_jesd204_common/axi_jesd204_common.xpr
M_DEPS += ../../util_cdc/util_cdc.xpr
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_event.xml
@ -29,41 +24,9 @@ M_DEPS += ../../jesd204/interfaces/jesd204_rx_ilas_config_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_status.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_status_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += jesd204/axi_jesd204_common
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
INTERFACE_DEPS += jesd204/interfaces
.PHONY: all dep clean clean-all
all: dep axi_jesd204_rx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_jesd204_rx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_jesd204_rx_ip.tcl >> axi_jesd204_rx_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/axi_jesd204_common/
$(MAKE) -C ../../util_cdc/
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,23 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_jesd204_tx
M_DEPS += ../../common/up_axi.v
M_DEPS += ../../common/up_clock_mon.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += ../../xilinx/common/up_clock_mon_constr.xdc
M_DEPS += axi_jesd204_tx.v
M_DEPS += axi_jesd204_tx_constr.xdc
M_DEPS += axi_jesd204_tx_ip.tcl
M_DEPS += jesd204_up_tx.v
M_DEPS += ../../jesd204/axi_jesd204_common/axi_jesd204_common.xpr
M_DEPS += ../../util_cdc/util_cdc.xpr
M_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_ctrl.xml
@ -29,41 +24,9 @@ M_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_status.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_status_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += jesd204/axi_jesd204_common
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
INTERFACE_DEPS += jesd204/interfaces
.PHONY: all dep clean clean-all
all: dep axi_jesd204_tx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_jesd204_tx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_jesd204_tx_ip.tcl >> axi_jesd204_tx_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/axi_jesd204_common/
$(MAKE) -C ../../util_cdc/
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := jesd204_common
M_DEPS += eof.v
M_DEPS += jesd204_common_ip.tcl
M_DEPS += lmfc.v
M_DEPS += pipeline_stage.v
M_DEPS += scrambler.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: jesd204_common.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
jesd204_common.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) jesd204_common_ip.tcl >> jesd204_common_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,12 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := jesd204_rx
M_DEPS += align_mux.v
M_DEPS += elastic_buffer.v
M_DEPS += ilas_monitor.v
@ -18,8 +16,6 @@ M_DEPS += rx_cgs.v
M_DEPS += rx_ctrl.v
M_DEPS += rx_lane.v
M_DEPS += ../../jesd204/jesd204_common/jesd204_common.xpr
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_event.xml
@ -29,40 +25,8 @@ M_DEPS += ../../jesd204/interfaces/jesd204_rx_ilas_config_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_status.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_status_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += jesd204/jesd204_common
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
INTERFACE_DEPS += jesd204/interfaces
.PHONY: all dep clean clean-all
all: dep jesd204_rx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
jesd204_rx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) jesd204_rx_ip.tcl >> jesd204_rx_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/jesd204_common/
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,51 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := jesd204_rx_static_config
M_DEPS += jesd204_rx_static_config_ip.tcl
M_DEPS += rx_static_config.v
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg.xml
M_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg_rtl.xml
M_VIVADO := vivado -mode batch -source
INTERFACE_DEPS += jesd204/interfaces
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep jesd204_rx_static_config.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
jesd204_rx_static_config.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) jesd204_rx_static_config_ip.tcl >> jesd204_rx_static_config_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,21 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := jesd204_tx
M_DEPS += jesd204_tx_constr.xdc
M_DEPS += jesd204_tx_ip.tcl
M_DEPS += tx.v
M_DEPS += tx_ctrl.v
M_DEPS += tx_lane.v
M_DEPS += ../../jesd204/jesd204_common/jesd204_common.xpr
M_DEPS += ../../util_cdc/util_cdc.xpr
M_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_ctrl.xml
@ -27,41 +22,9 @@ M_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_status.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_status_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += jesd204/jesd204_common
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
INTERFACE_DEPS += jesd204/interfaces
.PHONY: all dep clean clean-all
all: dep jesd204_tx.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
jesd204_tx.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) jesd204_tx_ip.tcl >> jesd204_tx_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/jesd204_common/
$(MAKE) -C ../../util_cdc/
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,12 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := jesd204_tx_static_config
M_DEPS += ilas_cfg_static.v
M_DEPS += jesd204_tx_static_config_ip.tcl
M_DEPS += tx_static_config.v
@ -16,39 +14,6 @@ M_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg_rtl.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config.xml
M_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config_rtl.xml
M_VIVADO := vivado -mode batch -source
INTERFACE_DEPS += jesd204/interfaces
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep jesd204_tx_static_config.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
jesd204_tx_static_config.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) jesd204_tx_static_config_ip.tcl >> jesd204_tx_static_config_ip.log 2>&1
dep:
$(MAKE) -C ../../jesd204/interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,59 +1,21 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_spi_engine
M_DEPS += ../../common/ad_rst.v
M_DEPS += ../../common/up_axi.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += axi_spi_engine.v
M_DEPS += axi_spi_engine_ip.tcl
M_DEPS += ../../util_axis_fifo/util_axis_fifo.xpr
M_DEPS += ../../util_cdc/util_cdc.xpr
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl_rtl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_offload_ctrl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_offload_ctrl_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += util_axis_fifo
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_spi_engine.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_spi_engine.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_spi_engine_ip.tcl >> axi_spi_engine_ip.log 2>&1
dep:
$(MAKE) -C ../../util_axis_fifo/
$(MAKE) -C ../../util_cdc/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,12 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := spi_engine_execution
M_DEPS += spi_engine_execution.v
M_DEPS += spi_engine_execution_ip.tcl
@ -15,37 +13,4 @@ M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl_rtl.xml
M_DEPS += ../../spi_engine/interfaces/spi_master.xml
M_DEPS += ../../spi_engine/interfaces/spi_master_rtl.xml
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: spi_engine_execution.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
spi_engine_execution.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) spi_engine_execution_ip.tcl >> spi_engine_execution_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := spi_engine_interconnect
M_DEPS += spi_engine_interconnect.v
M_DEPS += spi_engine_interconnect_ip.tcl
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl_rtl.xml
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: spi_engine_interconnect.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
spi_engine_interconnect.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) spi_engine_interconnect_ip.tcl >> spi_engine_interconnect_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,55 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := spi_engine_offload
M_DEPS += spi_engine_offload.v
M_DEPS += spi_engine_offload_ip.tcl
M_DEPS += ../../util_cdc/util_cdc.xpr
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl_rtl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_offload_ctrl.xml
M_DEPS += ../../spi_engine/interfaces/spi_engine_offload_ctrl_rtl.xml
M_VIVADO := vivado -mode batch -source
LIB_DEPS += util_cdc
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep spi_engine_offload.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
spi_engine_offload.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) spi_engine_offload_ip.tcl >> spi_engine_offload_ip.log 2>&1
dep:
$(MAKE) -C ../../util_cdc/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_adcfifo
M_DEPS += ../common/ad_axis_inf_rx.v
M_DEPS += ../common/ad_mem_asym.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_adcfifo.v
M_DEPS += util_adcfifo_constr.xdc
M_DEPS += util_adcfifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_adcfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_adcfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_adcfifo_ip.tcl >> util_adcfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,54 +1,17 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_axis_fifo
M_DEPS += ../common/ad_mem.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += address_gray.v
M_DEPS += address_gray_pipelined.v
M_DEPS += address_sync.v
M_DEPS += util_axis_fifo.v
M_DEPS += util_axis_fifo_ip.tcl
M_DEPS += ../util_cdc/util_cdc.xpr
LIB_DEPS += util_cdc
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep util_axis_fifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_axis_fifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_axis_fifo_ip.tcl >> util_axis_fifo_ip.log 2>&1
dep:
$(MAKE) -C ../util_cdc/
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_axis_resize
M_DEPS += util_axis_resize.v
M_DEPS += util_axis_resize_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_axis_resize.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_axis_resize.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_axis_resize_ip.tcl >> util_axis_resize_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_axis_upscale
M_DEPS += ../common/util_axis_upscale.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_axis_upscale_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_axis_upscale.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_axis_upscale.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_axis_upscale_ip.tcl >> util_axis_upscale_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_bsplit
M_DEPS += util_bsplit.v
M_DEPS += util_bsplit_constr.xdc
M_DEPS += util_bsplit_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_bsplit.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_bsplit.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_cdc
M_DEPS += sync_bits.v
M_DEPS += sync_data.v
M_DEPS += sync_event.v
M_DEPS += sync_gray.v
M_DEPS += util_cdc_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_cdc.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_cdc.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_cdc_ip.tcl >> util_cdc_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_cic
M_DEPS += cic_comb.v
M_DEPS += cic_int.v
M_DEPS += util_cic_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_cic.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_cic.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_cic_ip.tcl >> util_cic_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_clkdiv
M_DEPS += util_clkdiv.v
M_DEPS += util_clkdiv_constr.xdc
M_DEPS += util_clkdiv_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_clkdiv.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_clkdiv.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_clkdiv_ip.tcl >> util_clkdiv_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_cpack
M_DEPS += util_cpack.v
M_DEPS += util_cpack_constr.xdc
M_DEPS += util_cpack_dsf.v
M_DEPS += util_cpack_ip.tcl
M_DEPS += util_cpack_mux.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_cpack.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_cpack.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,50 +1,15 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_dacfifo
M_DEPS += ../common/ad_b2g.v
M_DEPS += ../common/ad_g2b.v
M_DEPS += ../common/ad_mem.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_dacfifo.v
M_DEPS += util_dacfifo_constr.xdc
M_DEPS += util_dacfifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_dacfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_dacfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_dacfifo_ip.tcl >> util_dacfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_delay
M_DEPS += ../common/util_delay.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_delay_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_delay.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_delay.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_delay_ip.tcl >> util_delay_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_extract
M_DEPS += util_extract.v
M_DEPS += util_extract_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_extract.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_extract.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_extract_ip.tcl >> util_extract_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_fir_dec
M_DEPS += util_fir_dec.v
M_DEPS += util_fir_dec_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_fir_dec.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_fir_dec.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_fir_dec_ip.tcl >> util_fir_dec_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_fir_int
M_DEPS += util_fir_int.v
M_DEPS += util_fir_int_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_fir_int.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_fir_int.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_fir_int_ip.tcl >> util_fir_int_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,48 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_gmii_to_rgmii
M_DEPS += mdc_mdio.v
M_DEPS += util_gmii_to_rgmii.v
M_DEPS += util_gmii_to_rgmii_constr.xdc
M_DEPS += util_gmii_to_rgmii_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_gmii_to_rgmii.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_gmii_to_rgmii.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_i2c_mixer
M_DEPS += util_i2c_mixer.vhd
M_DEPS += util_i2c_mixer_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_i2c_mixer.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_i2c_mixer.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,47 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_mfifo
M_DEPS += ../common/ad_mem.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_mfifo.v
M_DEPS += util_mfifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_mfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_mfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_mfifo_ip.tcl >> util_mfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_pulse_gen
M_DEPS += ../common/util_pulse_gen.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_pulse_gen_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_pulse_gen.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_pulse_gen.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_pulse_gen_ip.tcl >> util_pulse_gen_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,48 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_rfifo
M_DEPS += ../common/ad_mem.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_rfifo.v
M_DEPS += util_rfifo_constr.xdc
M_DEPS += util_rfifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_rfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_rfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_sigma_delta_spi
M_DEPS += util_sigma_delta_spi.v
M_DEPS += util_sigma_delta_spi_ip.tcl
M_DEPS += ../spi_engine/interfaces/spi_master.xml
M_DEPS += ../spi_engine/interfaces/spi_master_rtl.xml
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_sigma_delta_spi.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_sigma_delta_spi.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_sigma_delta_spi_ip.tcl >> util_sigma_delta_spi_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,48 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_tdd_sync
M_DEPS += ../common/util_pulse_gen.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_tdd_sync.v
M_DEPS += util_tdd_sync_constr.xdc
M_DEPS += util_tdd_sync_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_tdd_sync.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_tdd_sync.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_tdd_sync_ip.tcl >> util_tdd_sync_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,49 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_upack
M_DEPS += util_upack.v
M_DEPS += util_upack_constr.xdc
M_DEPS += util_upack_dmx.v
M_DEPS += util_upack_dsf.v
M_DEPS += util_upack_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_upack.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_upack.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,46 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
LIBRARY_NAME := util_var_fifo
M_DEPS += util_var_fifo.v
M_DEPS += util_var_fifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_var_fifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_var_fifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_var_fifo_ip.tcl >> util_var_fifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,48 +1,13 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := util_wfifo
M_DEPS += ../common/ad_mem.v
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_wfifo.v
M_DEPS += util_wfifo_constr.xdc
M_DEPS += util_wfifo_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: util_wfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_wfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../scripts/library.mk

View File

@ -1,16 +1,14 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_adcfifo
M_DEPS += ../../common/ad_axis_inf_rx.v
M_DEPS += ../../common/ad_mem.v
M_DEPS += ../../common/ad_mem_asym.v
M_DEPS += ../../common/up_xfer_status.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += axi_adcfifo.v
M_DEPS += axi_adcfifo_adc.v
M_DEPS += axi_adcfifo_constr.xdc
@ -19,37 +17,4 @@ M_DEPS += axi_adcfifo_ip.tcl
M_DEPS += axi_adcfifo_rd.v
M_DEPS += axi_adcfifo_wr.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_adcfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_adcfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_adcfifo_ip.tcl >> axi_adcfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,13 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_adxcvr
M_DEPS += ../../common/up_axi.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += axi_adxcvr.v
M_DEPS += axi_adxcvr_es.v
M_DEPS += axi_adxcvr_ip.tcl
@ -20,39 +18,6 @@ M_DEPS += ../../interfaces/if_xcvr_ch_rtl.xml
M_DEPS += ../../interfaces/if_xcvr_cm.xml
M_DEPS += ../../interfaces/if_xcvr_cm_rtl.xml
M_VIVADO := vivado -mode batch -source
INTERFACE_DEPS += interfaces
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep axi_adxcvr.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_adxcvr.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_adxcvr_ip.tcl >> axi_adxcvr_ip.log 2>&1
dep:
$(MAKE) -C ../../interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,17 +1,15 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_dacfifo
M_DEPS += ../../common/ad_axis_inf_rx.v
M_DEPS += ../../common/ad_b2g.v
M_DEPS += ../../common/ad_g2b.v
M_DEPS += ../../common/ad_mem.v
M_DEPS += ../../common/ad_mem_asym.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += axi_dacfifo.v
M_DEPS += axi_dacfifo_address_buffer.v
M_DEPS += axi_dacfifo_constr.xdc
@ -20,37 +18,4 @@ M_DEPS += axi_dacfifo_rd.v
M_DEPS += axi_dacfifo_wr.v
M_DEPS += util_dacfifo_bypass.v
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_dacfifo.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_dacfifo.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_dacfifo_ip.tcl >> axi_dacfifo_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,52 +1,17 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
LIBRARY_NAME := axi_xcvrlb
M_DEPS += ../../common/ad_pnmon.v
M_DEPS += ../../common/up_axi.v
M_DEPS += ../../common/up_xfer_status.v
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
M_DEPS += ../../xilinx/util_adxcvr/util_adxcvr_xch.v
M_DEPS += axi_xcvrlb.v
M_DEPS += axi_xcvrlb_1.v
M_DEPS += axi_xcvrlb_constr.xdc
M_DEPS += axi_xcvrlb_ip.tcl
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all clean clean-all
all: axi_xcvrlb.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
axi_xcvrlb.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) axi_xcvrlb_ip.tcl >> axi_xcvrlb_ip.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/library.mk

View File

@ -1,12 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_ip.tcl
LIBRARY_NAME := util_adxcvr
M_DEPS += util_adxcvr.v
M_DEPS += util_adxcvr_constr.xdc
M_DEPS += util_adxcvr_ip.tcl
@ -18,39 +16,6 @@ M_DEPS += ../../interfaces/if_xcvr_ch_rtl.xml
M_DEPS += ../../interfaces/if_xcvr_cm.xml
M_DEPS += ../../interfaces/if_xcvr_cm_rtl.xml
M_VIVADO := vivado -mode batch -source
INTERFACE_DEPS += interfaces
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += component.xml
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.ip_user_files
M_FLIST += *.srcs
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
.PHONY: all dep clean clean-all
all: dep util_adxcvr.xpr
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
util_adxcvr.xpr: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) util_adxcvr_ip.tcl >> util_adxcvr_ip.log 2>&1
dep:
$(MAKE) -C ../../interfaces/
####################################################################################
####################################################################################
include ../../scripts/library.mk