From 35c2dd5d6d6354afe30df41d5a71378fcac58a2d Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 9 Nov 2016 16:34:08 +0200 Subject: [PATCH] adrv9371x/zc706: Fix constraints --- projects/adrv9371x/zc706/system_constr.xdc | 1 + 1 file changed, 1 insertion(+) diff --git a/projects/adrv9371x/zc706/system_constr.xdc b/projects/adrv9371x/zc706/system_constr.xdc index f6b98aab8..49481db99 100644 --- a/projects/adrv9371x/zc706/system_constr.xdc +++ b/projects/adrv9371x/zc706/system_constr.xdc @@ -74,4 +74,5 @@ create_clock -name tx_div_clk -period 8.00 [get_pins i_system_wrapper/syste create_clock -name rx_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/util_ad9371_xcvr/inst/i_xch_0/i_gtxe2_channel/RXOUTCLK] create_clock -name rx_os_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/util_ad9371_xcvr/inst/i_xch_2/i_gtxe2_channel/RXOUTCLK] +set_false_path -from [get_cells i_system_wrapper/system_i/axi_ad9371_rx_jesd_rstgen/U0/PR_OUT_DFF[0].peripheral_reset_reg[0]]