From 3645139e590cac7904b89793dfe5721691051c82 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Thu, 23 Oct 2014 12:55:56 +0300 Subject: [PATCH] fmcomms6: Avoiding redefinition of system clocks. --- projects/fmcomms6/zc706/system_constr.xdc | 3 --- 1 file changed, 3 deletions(-) diff --git a/projects/fmcomms6/zc706/system_constr.xdc b/projects/fmcomms6/zc706/system_constr.xdc index a650a93ee..01ec445cf 100644 --- a/projects/fmcomms6/zc706/system_constr.xdc +++ b/projects/fmcomms6/zc706/system_constr.xdc @@ -55,8 +55,5 @@ set_property -dict {PACKAGE_PIN AK26 IOSTANDARD LVCMOS25} [get_ports adf4351_ create_clock -name adc_clk_in -period 3.22 [get_ports adc_clk_in_p] create_clock -name adc_clk -period 3.22 [get_pins i_system_wrapper/system_i/axi_ad9652/adc_clk] -create_clock -name fmc_dma_clk -period 8.00 [get_pins i_system_wrapper/system_i/sys_ps7/FCLK_CLK2] set_clock_groups -asynchronous -group {adc_clk} -set_clock_groups -asynchronous -group {fmc_dma_clk} -