fmcomms6: Avoiding redefinition of system clocks.

main
Istvan Csomortani 2014-10-23 12:55:56 +03:00
parent dd5d57843d
commit 3645139e59
1 changed files with 0 additions and 3 deletions

View File

@ -55,8 +55,5 @@ set_property -dict {PACKAGE_PIN AK26 IOSTANDARD LVCMOS25} [get_ports adf4351_
create_clock -name adc_clk_in -period 3.22 [get_ports adc_clk_in_p]
create_clock -name adc_clk -period 3.22 [get_pins i_system_wrapper/system_i/axi_ad9652/adc_clk]
create_clock -name fmc_dma_clk -period 8.00 [get_pins i_system_wrapper/system_i/sys_ps7/FCLK_CLK2]
set_clock_groups -asynchronous -group {adc_clk}
set_clock_groups -asynchronous -group {fmc_dma_clk}