axi_ad9144: added constraints

main
Rejeesh Kutty 2014-10-17 13:57:09 -04:00
parent 380eeec013
commit 37b608f397
1 changed files with 3 additions and 1 deletions

View File

@ -1,4 +1,6 @@
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]