make files update

main
Rejeesh Kutty 2016-04-29 10:17:18 -04:00
parent 3f5e1e1203
commit 385ed31a45
10 changed files with 104 additions and 1 deletions

View File

@ -37,7 +37,7 @@ M_DEPS += ../common/up_dac_common.v
M_DEPS += ../common/up_dac_channel.v M_DEPS += ../common/up_dac_channel.v
M_DEPS += ../common/up_tdd_cntrl.v M_DEPS += ../common/up_tdd_cntrl.v
M_DEPS += axi_ad9361_constr.xdc M_DEPS += axi_ad9361_constr.xdc
M_DEPS += axi_ad9361_dev_if.v M_DEPS += axi_ad9361_lvds_if.v
M_DEPS += axi_ad9361_cmos_if.v M_DEPS += axi_ad9361_cmos_if.v
M_DEPS += axi_ad9361_rx_pnmon.v M_DEPS += axi_ad9361_rx_pnmon.v
M_DEPS += axi_ad9361_rx_channel.v M_DEPS += axi_ad9361_rx_channel.v

View File

@ -10,6 +10,7 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_mem.v M_DEPS += ../common/ad_mem.v
M_DEPS += util_dacfifo.v M_DEPS += util_dacfifo.v
M_DEPS += util_dacfifo_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
@ -25,6 +26,7 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -65,6 +67,7 @@ clean-all:clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -86,6 +89,7 @@ lib:
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
@ -25,6 +26,7 @@ M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -66,6 +68,7 @@ clean-all:clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -88,6 +91,7 @@ lib:
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -17,12 +17,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -58,6 +60,7 @@ clean-all:clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -74,6 +77,7 @@ lib:
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -17,12 +17,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -61,6 +63,7 @@ clean-all:clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -80,6 +83,7 @@ lib:
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -7,6 +7,7 @@
.PHONY: all clean clean-all .PHONY: all clean clean-all
all: all:
-make -C a10soc all
-make -C ac701 all -make -C ac701 all
-make -C kc705 all -make -C kc705 all
-make -C mitx045 all -make -C mitx045 all
@ -17,6 +18,7 @@ all:
clean: clean:
make -C a10soc clean
make -C ac701 clean make -C ac701 clean
make -C kc705 clean make -C kc705 clean
make -C mitx045 clean make -C mitx045 clean
@ -27,6 +29,7 @@ clean:
clean-all: clean-all:
make -C a10soc clean-all
make -C ac701 clean-all make -C ac701 clean-all
make -C kc705 clean-all make -C kc705 clean-all
make -C mitx045 clean-all make -C mitx045 clean-all

View File

@ -9,9 +9,84 @@ M_DEPS += system_top.v
M_DEPS += system_project.tcl M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc M_DEPS += system_constr.sdc
M_DEPS += system_bd.qsys M_DEPS += system_bd.qsys
M_DEPS += ../common/fmcomms2_bd.qsys
M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10soc/a10soc_system_bd.qsys M_DEPS += ../../common/a10soc/a10soc_system_bd.qsys
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_lvds_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
M_DEPS += ../../../library/axi_dmac/address_generator.v
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
M_DEPS += ../../../library/axi_dmac/data_mover.v
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
M_DEPS += ../../../library/axi_dmac/inc_id.h
M_DEPS += ../../../library/axi_dmac/request_arb.v
M_DEPS += ../../../library/axi_dmac/request_generator.v
M_DEPS += ../../../library/axi_dmac/resp.h
M_DEPS += ../../../library/axi_dmac/response_generator.v
M_DEPS += ../../../library/axi_dmac/response_handler.v
M_DEPS += ../../../library/axi_dmac/splitter.v
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
M_DEPS += ../../../library/common/ad_addsub.v
M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dcfilter.v
M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_iqcor.v
M_DEPS += ../../../library/common/ad_mul.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_tdd_control.v
M_DEPS += ../../../library/common/altera/DSP48E1.v
M_DEPS += ../../../library/common/altera/MULT_MACRO.v
M_DEPS += ../../../library/common/altera/ad_cmos_clk.v
M_DEPS += ../../../library/common/altera/ad_cmos_in.v
M_DEPS += ../../../library/common/altera/ad_cmos_out.v
M_DEPS += ../../../library/common/altera/ad_lvds_clk.v
M_DEPS += ../../../library/common/altera/ad_lvds_in.v
M_DEPS += ../../../library/common/altera/ad_lvds_out.v
M_DEPS += ../../../library/common/sync_bits.v
M_DEPS += ../../../library/common/sync_gray.v
M_DEPS += ../../../library/common/up_adc_channel.v
M_DEPS += ../../../library/common/up_adc_common.v
M_DEPS += ../../../library/common/up_axi.v
M_DEPS += ../../../library/common/up_clock_mon.v
M_DEPS += ../../../library/common/up_dac_channel.v
M_DEPS += ../../../library/common/up_dac_common.v
M_DEPS += ../../../library/common/up_delay_cntrl.v
M_DEPS += ../../../library/common/up_tdd_cntrl.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../../library/util_cpack/util_cpack.v
M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v
M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl
M_DEPS += ../../../library/util_cpack/util_cpack_mux.v
M_DEPS += ../../../library/util_upack/util_upack.v
M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t M_ALTERA := quartus_sh --64bit -t

View File

@ -17,6 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
@ -25,6 +26,7 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -65,6 +67,7 @@ clean-all:clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -86,6 +89,7 @@ lib:
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -17,11 +17,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -57,6 +59,7 @@ clean-all:clean
make -C ../../../library/axi_ad9671 clean make -C ../../../library/axi_ad9671 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -73,6 +76,7 @@ lib:
make -C ../../../library/axi_ad9671 make -C ../../../library/axi_ad9671
make -C ../../../library/axi_adcfifo make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt