diff --git a/library/axi_ad9643/axi_ad9643_constr.xdc b/library/axi_ad9643/axi_ad9643_constr.xdc new file mode 100755 index 000000000..967893a6c --- /dev/null +++ b/library/axi_ad9643/axi_ad9643_constr.xdc @@ -0,0 +1,4 @@ +set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk_in_p]] +set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk]] +set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] + diff --git a/library/axi_ad9643/axi_ad9643_ip.tcl b/library/axi_ad9643/axi_ad9643_ip.tcl index 0a81f02b7..116ca230c 100755 --- a/library/axi_ad9643/axi_ad9643_ip.tcl +++ b/library/axi_ad9643/axi_ad9643_ip.tcl @@ -24,9 +24,12 @@ adi_ip_files axi_ad9643 [list \ "axi_ad9643_pnmon.v" \ "axi_ad9643_channel.v" \ "axi_ad9643_if.v" \ + "axi_ad9643_constr.xdc" \ "axi_ad9643.v" ] adi_ip_properties axi_ad9643 +adi_ip_constraints axi_ad9643 [list \ + "axi_ad9643_constr.xdc" ] ipx::save_core [ipx::current_core]