From 391a14be7af15c588ac75505958e5e8fe1e0b80e Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 4 May 2017 13:59:47 -0400 Subject: [PATCH] hdlmake.pl updates --- library/Makefile | 244 +++++++++++++++--------------- library/axi_fmcadc5_sync/Makefile | 2 + 2 files changed, 124 insertions(+), 122 deletions(-) diff --git a/library/Makefile b/library/Makefile index d3d7d9b79..10720e857 100644 --- a/library/Makefile +++ b/library/Makefile @@ -10,84 +10,84 @@ all: lib clean: - make -C axi_ad5766 clean - make -C axi_ad6676 clean - make -C axi_ad7616 clean make -C axi_ad9122 clean - make -C axi_ad9144 clean - make -C axi_ad9152 clean - make -C axi_ad9162 clean - make -C axi_ad9234 clean - make -C axi_ad9250 clean - make -C axi_ad9265 clean - make -C axi_ad9361 clean - make -C axi_ad9371 clean - make -C axi_ad9434 clean - make -C axi_ad9467 clean - make -C axi_ad9625 clean - make -C axi_ad9643 clean - make -C axi_ad9652 clean make -C axi_ad9671 clean - make -C axi_ad9680 clean - make -C axi_ad9684 clean make -C axi_ad9739a clean make -C axi_ad9963 clean - make -C axi_adc_decimate clean - make -C axi_adc_trigger clean - make -C axi_clkgen clean - make -C axi_dac_interpolate clean - make -C axi_dmac clean - make -C axi_fmcadc5_sync clean - make -C axi_generic_adc clean - make -C axi_gpreg clean - make -C axi_hdmi_rx clean - make -C axi_hdmi_tx clean - make -C axi_i2s_adi clean - make -C axi_intr_monitor clean - make -C axi_logic_analyzer clean - make -C axi_mc_controller clean - make -C axi_mc_current_monitor clean - make -C axi_mc_speed clean - make -C axi_rd_wr_combiner clean - make -C axi_spdif_rx clean - make -C axi_spdif_tx clean - make -C axi_usb_fx3 clean - make -C cn0363/cn0363_dma_sequencer clean - make -C cn0363/cn0363_phase_data_sync clean make -C cordic_demod clean - make -C spi_engine/axi_spi_engine clean - make -C spi_engine/spi_engine_execution clean - make -C spi_engine/spi_engine_interconnect clean - make -C spi_engine/spi_engine_offload clean - make -C util_adcfifo clean - make -C util_axis_fifo clean - make -C util_axis_resize clean - make -C util_bsplit clean - make -C util_ccat clean - make -C util_cic clean - make -C util_clkdiv clean + make -C axi_ad9467 clean + make -C axi_generic_adc clean + make -C axi_ad9144 clean + make -C axi_i2s_adi clean + make -C util_wfifo clean + make -C cn0363/cn0363_phase_data_sync clean + make -C cn0363/cn0363_dma_sequencer clean + make -C axi_ad9625 clean + make -C axi_mc_controller clean + make -C axi_ad9234 clean make -C util_cpack clean - make -C util_dacfifo clean - make -C util_extract clean - make -C util_fir_dec clean - make -C util_fir_int clean + make -C axi_hdmi_tx clean + make -C axi_ad9152 clean + make -C util_upack clean + make -C axi_ad6676 clean make -C util_gmii_to_rgmii clean + make -C axi_ad9643 clean + make -C axi_ad5766 clean + make -C util_var_fifo clean + make -C axi_ad9434 clean + make -C util_fir_int clean + make -C axi_ad7616 clean make -C util_i2c_mixer clean - make -C util_mfifo clean + make -C axi_ad9371 clean make -C util_pmod_adc clean make -C util_pmod_fmeter clean + make -C axi_spdif_rx clean + make -C axi_mc_current_monitor clean + make -C util_extract clean + make -C util_fir_dec clean + make -C util_mfifo clean + make -C util_cic clean + make -C axi_gpreg clean + make -C axi_usb_fx3 clean + make -C axi_dac_interpolate clean + make -C util_axis_fifo clean + make -C axi_ad9652 clean make -C util_pulse_gen clean + make -C axi_adc_trigger clean + make -C axi_fmcadc5_sync clean + make -C util_bsplit clean + make -C util_clkdiv clean + make -C axi_rd_wr_combiner clean + make -C axi_ad9265 clean + make -C axi_spdif_tx clean + make -C axi_ad9680 clean + make -C util_tdd_sync clean + make -C axi_logic_analyzer clean + make -C axi_intr_monitor clean + make -C util_dacfifo clean + make -C axi_ad9250 clean + make -C axi_ad9162 clean + make -C axi_ad9361 clean + make -C util_ccat clean make -C util_rfifo clean make -C util_sigma_delta_spi clean - make -C util_tdd_sync clean - make -C util_upack clean - make -C util_var_fifo clean - make -C util_wfifo clean + make -C axi_dmac clean + make -C axi_clkgen clean + make -C axi_hdmi_rx clean + make -C xilinx/axi_dacfifo clean make -C xilinx/axi_adcfifo clean make -C xilinx/axi_adxcvr clean - make -C xilinx/axi_dacfifo clean make -C xilinx/axi_xcvrlb clean make -C xilinx/util_adxcvr clean + make -C axi_mc_speed clean + make -C util_adcfifo clean + make -C util_axis_resize clean + make -C spi_engine/spi_engine_execution clean + make -C spi_engine/spi_engine_offload clean + make -C spi_engine/axi_spi_engine clean + make -C spi_engine/spi_engine_interconnect clean + make -C axi_ad9684 clean + make -C axi_adc_decimate clean make -C interfaces clean @@ -96,84 +96,84 @@ clean-all:clean lib: - make -C axi_ad5766 - make -C axi_ad6676 - make -C axi_ad7616 make -C axi_ad9122 - make -C axi_ad9144 - make -C axi_ad9152 - make -C axi_ad9162 - make -C axi_ad9234 - make -C axi_ad9250 - make -C axi_ad9265 - make -C axi_ad9361 - make -C axi_ad9371 - make -C axi_ad9434 - make -C axi_ad9467 - make -C axi_ad9625 - make -C axi_ad9643 - make -C axi_ad9652 make -C axi_ad9671 - make -C axi_ad9680 - make -C axi_ad9684 make -C axi_ad9739a make -C axi_ad9963 - make -C axi_adc_decimate - make -C axi_adc_trigger - make -C axi_clkgen - make -C axi_dac_interpolate - make -C axi_dmac - make -C axi_fmcadc5_sync - make -C axi_generic_adc - make -C axi_gpreg - make -C axi_hdmi_rx - make -C axi_hdmi_tx - make -C axi_i2s_adi - make -C axi_intr_monitor - make -C axi_logic_analyzer - make -C axi_mc_controller - make -C axi_mc_current_monitor - make -C axi_mc_speed - make -C axi_rd_wr_combiner - make -C axi_spdif_rx - make -C axi_spdif_tx - make -C axi_usb_fx3 - make -C cn0363/cn0363_dma_sequencer - make -C cn0363/cn0363_phase_data_sync make -C cordic_demod - make -C spi_engine/axi_spi_engine - make -C spi_engine/spi_engine_execution - make -C spi_engine/spi_engine_interconnect - make -C spi_engine/spi_engine_offload - make -C util_adcfifo - make -C util_axis_fifo - make -C util_axis_resize - make -C util_bsplit - make -C util_ccat - make -C util_cic - make -C util_clkdiv + make -C axi_ad9467 + make -C axi_generic_adc + make -C axi_ad9144 + make -C axi_i2s_adi + make -C util_wfifo + make -C cn0363/cn0363_phase_data_sync + make -C cn0363/cn0363_dma_sequencer + make -C axi_ad9625 + make -C axi_mc_controller + make -C axi_ad9234 make -C util_cpack - make -C util_dacfifo - make -C util_extract - make -C util_fir_dec - make -C util_fir_int + make -C axi_hdmi_tx + make -C axi_ad9152 + make -C util_upack + make -C axi_ad6676 make -C util_gmii_to_rgmii + make -C axi_ad9643 + make -C axi_ad5766 + make -C util_var_fifo + make -C axi_ad9434 + make -C util_fir_int + make -C axi_ad7616 make -C util_i2c_mixer - make -C util_mfifo + make -C axi_ad9371 make -C util_pmod_adc make -C util_pmod_fmeter + make -C axi_spdif_rx + make -C axi_mc_current_monitor + make -C util_extract + make -C util_fir_dec + make -C util_mfifo + make -C util_cic + make -C axi_gpreg + make -C axi_usb_fx3 + make -C axi_dac_interpolate + make -C util_axis_fifo + make -C axi_ad9652 make -C util_pulse_gen + make -C axi_adc_trigger + make -C axi_fmcadc5_sync + make -C util_bsplit + make -C util_clkdiv + make -C axi_rd_wr_combiner + make -C axi_ad9265 + make -C axi_spdif_tx + make -C axi_ad9680 + make -C util_tdd_sync + make -C axi_logic_analyzer + make -C axi_intr_monitor + make -C util_dacfifo + make -C axi_ad9250 + make -C axi_ad9162 + make -C axi_ad9361 + make -C util_ccat make -C util_rfifo make -C util_sigma_delta_spi - make -C util_tdd_sync - make -C util_upack - make -C util_var_fifo - make -C util_wfifo + make -C axi_dmac + make -C axi_clkgen + make -C axi_hdmi_rx + make -C xilinx/axi_dacfifo make -C xilinx/axi_adcfifo make -C xilinx/axi_adxcvr - make -C xilinx/axi_dacfifo make -C xilinx/axi_xcvrlb make -C xilinx/util_adxcvr + make -C axi_mc_speed + make -C util_adcfifo + make -C util_axis_resize + make -C spi_engine/spi_engine_execution + make -C spi_engine/spi_engine_offload + make -C spi_engine/axi_spi_engine + make -C spi_engine/spi_engine_interconnect + make -C axi_ad9684 + make -C axi_adc_decimate make -C interfaces diff --git a/library/axi_fmcadc5_sync/Makefile b/library/axi_fmcadc5_sync/Makefile index 4d6de6ee4..1e9985b48 100644 --- a/library/axi_fmcadc5_sync/Makefile +++ b/library/axi_fmcadc5_sync/Makefile @@ -9,7 +9,9 @@ M_DEPS += ../common/up_axi.v M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../xilinx/common/ad_lvds_out.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += axi_fmcadc5_sync.v +M_DEPS += axi_fmcadc5_sync_calcor.v M_DEPS += axi_fmcadc5_sync_constr.xdc M_DEPS += axi_fmcadc5_sync_ip.tcl