hdlmake- updates
parent
6df5ba1a7a
commit
39a5534e00
|
@ -55,7 +55,6 @@ clean:
|
|||
make -C spi_engine/spi_engine_execution clean
|
||||
make -C spi_engine/spi_engine_interconnect clean
|
||||
make -C spi_engine/spi_engine_offload clean
|
||||
make -C util_adc_pack clean
|
||||
make -C util_adcfifo clean
|
||||
make -C util_adxcvr clean
|
||||
make -C util_axis_fifo clean
|
||||
|
@ -63,7 +62,6 @@ clean:
|
|||
make -C util_bsplit clean
|
||||
make -C util_ccat clean
|
||||
make -C util_cpack clean
|
||||
make -C util_dac_unpack clean
|
||||
make -C util_dacfifo clean
|
||||
make -C util_gmii_to_rgmii clean
|
||||
make -C util_gtlb clean
|
||||
|
@ -128,7 +126,6 @@ lib:
|
|||
-make -C spi_engine/spi_engine_execution
|
||||
-make -C spi_engine/spi_engine_interconnect
|
||||
-make -C spi_engine/spi_engine_offload
|
||||
-make -C util_adc_pack
|
||||
-make -C util_adcfifo
|
||||
-make -C util_adxcvr
|
||||
-make -C util_axis_fifo
|
||||
|
@ -136,7 +133,6 @@ lib:
|
|||
-make -C util_bsplit
|
||||
-make -C util_ccat
|
||||
-make -C util_cpack
|
||||
-make -C util_dac_unpack
|
||||
-make -C util_dacfifo
|
||||
-make -C util_gmii_to_rgmii
|
||||
-make -C util_gtlb
|
||||
|
|
|
@ -17,6 +17,7 @@ M_DEPS += ../common/up_xfer_status.v
|
|||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/ad_xcvr_rx_if.v
|
||||
M_DEPS += axi_ad9680_pnmon.v
|
||||
M_DEPS += axi_ad9680_channel.v
|
||||
M_DEPS += axi_ad9680_if.v
|
||||
|
|
|
@ -8,6 +8,7 @@
|
|||
M_DEPS := util_adxcvr_ip.tcl
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += util_adxcvr_constr.xdc
|
||||
M_DEPS += util_adxcvr_xcm.v
|
||||
M_DEPS += util_adxcvr_xch.v
|
||||
M_DEPS += util_adxcvr.v
|
||||
|
|
|
@ -21,12 +21,12 @@ M_DEPS += ../../common/kc705/kc705_system_bd.tcl
|
|||
M_DEPS += ../../../library/common/ad_iobuf.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
||||
M_DEPS += ../../../library/axi_adxcvr/axi_adxcvr.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_adxcvr/util_adxcvr.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -57,12 +57,12 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9144 clean
|
||||
make -C ../../../library/axi_ad9680 clean
|
||||
make -C ../../../library/axi_adxcvr clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_adxcvr clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_jesd_gt clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
||||
|
||||
|
@ -74,12 +74,12 @@ daq2_kc705.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9144
|
||||
make -C ../../../library/axi_ad9680
|
||||
make -C ../../../library/axi_adxcvr
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_adxcvr
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_jesd_gt
|
||||
make -C ../../../library/util_upack
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -21,12 +21,12 @@ M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
|
|||
M_DEPS += ../../../library/common/ad_iobuf.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
||||
M_DEPS += ../../../library/axi_adxcvr/axi_adxcvr.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_adxcvr/util_adxcvr.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -57,12 +57,12 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9144 clean
|
||||
make -C ../../../library/axi_ad9680 clean
|
||||
make -C ../../../library/axi_adxcvr clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_adxcvr clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_jesd_gt clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
||||
|
||||
|
@ -74,12 +74,12 @@ daq2_kcu105.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9144
|
||||
make -C ../../../library/axi_ad9680
|
||||
make -C ../../../library/axi_adxcvr
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_adxcvr
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_jesd_gt
|
||||
make -C ../../../library/util_upack
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -21,12 +21,12 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
|
|||
M_DEPS += ../../../library/common/ad_iobuf.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
||||
M_DEPS += ../../../library/axi_adxcvr/axi_adxcvr.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_adxcvr/util_adxcvr.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -57,12 +57,12 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9144 clean
|
||||
make -C ../../../library/axi_ad9680 clean
|
||||
make -C ../../../library/axi_adxcvr clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_adxcvr clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_jesd_gt clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
||||
|
||||
|
@ -74,12 +74,12 @@ daq2_vc707.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9144
|
||||
make -C ../../../library/axi_ad9680
|
||||
make -C ../../../library/axi_adxcvr
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_adxcvr
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_jesd_gt
|
||||
make -C ../../../library/util_upack
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -24,15 +24,15 @@ M_DEPS += ../../../library/common/ad_iobuf.v
|
|||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
||||
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
|
||||
M_DEPS += ../../../library/axi_adxcvr/axi_adxcvr.xpr
|
||||
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_adxcvr/util_adxcvr.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -64,15 +64,15 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9144 clean
|
||||
make -C ../../../library/axi_ad9680 clean
|
||||
make -C ../../../library/axi_adcfifo clean
|
||||
make -C ../../../library/axi_adxcvr clean
|
||||
make -C ../../../library/axi_clkgen clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_adxcvr clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_jesd_gt clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
||||
|
||||
|
@ -85,15 +85,15 @@ lib:
|
|||
make -C ../../../library/axi_ad9144
|
||||
make -C ../../../library/axi_ad9680
|
||||
make -C ../../../library/axi_adcfifo
|
||||
make -C ../../../library/axi_adxcvr
|
||||
make -C ../../../library/axi_clkgen
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_adxcvr
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_jesd_gt
|
||||
make -C ../../../library/util_upack
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -92,7 +92,7 @@ M_DEPS += ../../../library/util_wfifo/util_wfifo.v
|
|||
M_DEPS += ../../../library/util_wfifo/util_wfifo_hw.tcl
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
||||
|
||||
M_FLIST += *.log
|
||||
|
|
Loading…
Reference in New Issue