From 3b3fe4e642edd5660cbff54ea60bc8833acf0978 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 15 Jul 2015 15:34:45 +0300 Subject: [PATCH] fmcomms2/FREQCVT : Update GPIOs Add gpio_muxout_[tx/rx] GPIO lines and update SPI interface I/Os for the FREQCVT board --- projects/fmcomms2/zc706/system_constr.xdc | 11 +++++++---- projects/fmcomms2/zc706/system_top.v | 16 +++++++++++----- projects/fmcomms2/zed/system_constr.xdc | 7 +++++-- projects/fmcomms2/zed/system_top.v | 16 +++++++++++----- 4 files changed, 34 insertions(+), 16 deletions(-) diff --git a/projects/fmcomms2/zc706/system_constr.xdc b/projects/fmcomms2/zc706/system_constr.xdc index 25b22387f..323bcd825 100644 --- a/projects/fmcomms2/zc706/system_constr.xdc +++ b/projects/fmcomms2/zc706/system_constr.xdc @@ -60,10 +60,13 @@ set_property -dict {PACKAGE_PIN AJ29 IOSTANDARD LVCMOS25} [get_ports spi_miso # spi pmod J58 -set_property -dict {PACKAGE_PIN AJ21 IOSTANDARD LVCMOS25} [get_ports spi_udc_csn_tx] ; ## PMOD1_0_LS -set_property -dict {PACKAGE_PIN AK21 IOSTANDARD LVCMOS25} [get_ports spi_udc_csn_rx] ; ## PMOD1_1_LS -set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS25} [get_ports spi_udc_sclk] ; ## PMOD1_3_LS -set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports spi_udc_data] ; ## PMOD1_2_LS +set_property -dict {PACKAGE_PIN AJ21 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports spi_udc_csn_tx] ; ## PMOD1_0_LS +set_property -dict {PACKAGE_PIN Y20 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports spi_udc_csn_rx] ; ## PMOD1_4_LS +set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVCMOS25} [get_ports spi_udc_sclk] ; ## PMOD1_3_LS +set_property -dict {PACKAGE_PIN AK21 IOSTANDARD LVCMOS25} [get_ports spi_udc_data] ; ## PMOD1_1_LS + +set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVCMOS25} [get_ports gpio_muxout_tx] ; ## PMOD1_2_LS +set_property -dict {PACKAGE_PIN AC18 IOSTANDARD LVCMOS25} [get_ports gpio_muxout_rx] ; ## PMOD1_6_LS # clocks diff --git a/projects/fmcomms2/zc706/system_top.v b/projects/fmcomms2/zc706/system_top.v index 91f6322f9..df3db223a 100644 --- a/projects/fmcomms2/zc706/system_top.v +++ b/projects/fmcomms2/zc706/system_top.v @@ -93,6 +93,8 @@ module system_top ( enable, txnrx, + gpio_muxout_tx, + gpio_muxout_rx, gpio_resetb, gpio_sync, gpio_en_agc, @@ -161,6 +163,8 @@ module system_top ( output enable; output txnrx; + inout gpio_muxout_tx; + inout gpio_muxout_rx; inout gpio_resetb; inout gpio_sync; inout gpio_en_agc; @@ -217,11 +221,13 @@ module system_top ( // instantiations - ad_iobuf #(.DATA_WIDTH(17)) i_iobuf ( - .dio_t (gpio_t[48:32]), - .dio_i (gpio_o[48:32]), - .dio_o (gpio_i[48:32]), - .dio_p ({ gpio_txnrx, + ad_iobuf #(.DATA_WIDTH(19)) i_iobuf ( + .dio_t (gpio_t[50:32]), + .dio_i (gpio_o[50:32]), + .dio_o (gpio_i[50:32]), + .dio_p ({ gpio_muxout_tx, + gpio_muxout_rx, + gpio_txnrx, gpio_enable, gpio_resetb, gpio_sync, diff --git a/projects/fmcomms2/zed/system_constr.xdc b/projects/fmcomms2/zed/system_constr.xdc index 58038d19b..37fa7963c 100644 --- a/projects/fmcomms2/zed/system_constr.xdc +++ b/projects/fmcomms2/zed/system_constr.xdc @@ -61,9 +61,12 @@ set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports spi_miso] # spi pmod JA1 set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_tx] ; ## JA1 -set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_rx] ; ## JA2 +set_property -dict {PACKAGE_PIN AB11 IOSTANDARD LVCMOS33} [get_ports spi_udc_csn_rx] ; ## JA7 set_property -dict {PACKAGE_PIN AA9 IOSTANDARD LVCMOS33} [get_ports spi_udc_sclk] ; ## JA4 -set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS33} [get_ports spi_udc_data] ; ## JA3 +set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_udc_data] ; ## JA2 + +set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS33} [get_ports gpio_muxout_tx] ; ## JA3 +set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports gpio_muxout_rx] ; ## JA9 # clocks diff --git a/projects/fmcomms2/zed/system_top.v b/projects/fmcomms2/zed/system_top.v index 38f758826..05ef95d01 100644 --- a/projects/fmcomms2/zed/system_top.v +++ b/projects/fmcomms2/zed/system_top.v @@ -103,6 +103,8 @@ module system_top ( txnrx, enable, + gpio_muxout_tx, + gpio_muxout_rx, gpio_resetb, gpio_sync, gpio_en_agc, @@ -181,6 +183,8 @@ module system_top ( output txnrx; output enable; + inout gpio_muxout_tx; + inout gpio_muxout_rx; inout gpio_resetb; inout gpio_sync; inout gpio_en_agc; @@ -222,11 +226,13 @@ module system_top ( // instantiations - ad_iobuf #(.DATA_WIDTH(49)) i_iobuf_gpio ( - .dio_t ({gpio_t[48:0]}), - .dio_i ({gpio_o[48:0]}), - .dio_o ({gpio_i[48:0]}), - .dio_p ({ gpio_txnrx, + ad_iobuf #(.DATA_WIDTH(51)) i_iobuf_gpio ( + .dio_t ({gpio_t[50:0]}), + .dio_i ({gpio_o[50:0]}), + .dio_o ({gpio_i[50:0]}), + .dio_p ({ gpio_muxout_tx, + gpio_muxout_rx, + gpio_txnrx, gpio_enable, gpio_resetb, gpio_sync,