diff --git a/projects/fmcomms7/zc706/system_constr.xdc b/projects/fmcomms7/zc706/system_constr.xdc index 93ada931a..32c7c0529 100644 --- a/projects/fmcomms7/zc706/system_constr.xdc +++ b/projects/fmcomms7/zc706/system_constr.xdc @@ -102,6 +102,6 @@ set_property -dict {PACKAGE_PIN P26 IOSTANDARD LVCMOS25} [get_ports hmc922_b create_clock -name tx_ref_clk -period 2.00 [get_ports tx_ref_clk_p] create_clock -name rx_ref_clk -period 2.00 [get_ports rx_ref_clk_p] -create_clock -name tx_div_clk -period 4.00 [get_nets i_system_wrapper/system_i/axi_daq2_gt_tx_clk] -create_clock -name rx_div_clk -period 4.00 [get_nets i_system_wrapper/system_i/axi_daq2_gt_rx_clk] +create_clock -name tx_div_clk -period 4.00 [get_nets i_system_wrapper/system_i/axi_fmcomms7_gt_tx_clk] +create_clock -name rx_div_clk -period 4.00 [get_nets i_system_wrapper/system_i/axi_fmcomms7_gt_rx_clk]