Makefile: Update Make files
parent
8c98399c37
commit
46fa91d5be
|
@ -37,6 +37,7 @@ clean:
|
|||
make -C axi_mc_controller clean
|
||||
make -C axi_mc_current_monitor clean
|
||||
make -C axi_mc_speed clean
|
||||
make -C axi_spdif_rx clean
|
||||
make -C axi_spdif_tx clean
|
||||
make -C cn0363/cn0363_dma_sequencer clean
|
||||
make -C cn0363/cn0363_phase_data_sync clean
|
||||
|
@ -57,6 +58,8 @@ clean:
|
|||
make -C util_dacfifo clean
|
||||
make -C util_gmii_to_rgmii clean
|
||||
make -C util_i2c_mixer clean
|
||||
make -C util_jesd_align clean
|
||||
make -C util_jesd_xmit clean
|
||||
make -C util_pmod_adc clean
|
||||
make -C util_pmod_fmeter clean
|
||||
make -C util_rfifo clean
|
||||
|
@ -96,6 +99,7 @@ lib:
|
|||
-make -C axi_mc_controller
|
||||
-make -C axi_mc_current_monitor
|
||||
-make -C axi_mc_speed
|
||||
-make -C axi_spdif_rx
|
||||
-make -C axi_spdif_tx
|
||||
-make -C cn0363/cn0363_dma_sequencer
|
||||
-make -C cn0363/cn0363_phase_data_sync
|
||||
|
@ -116,6 +120,8 @@ lib:
|
|||
-make -C util_dacfifo
|
||||
-make -C util_gmii_to_rgmii
|
||||
-make -C util_i2c_mixer
|
||||
-make -C util_jesd_align
|
||||
-make -C util_jesd_xmit
|
||||
-make -C util_pmod_adc
|
||||
-make -C util_pmod_fmeter
|
||||
-make -C util_rfifo
|
||||
|
|
|
@ -14,8 +14,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += axi_ad6676_pnmon.v
|
||||
|
|
|
@ -20,7 +20,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_dac_common.v
|
||||
M_DEPS += ../common/up_dac_channel.v
|
||||
M_DEPS += axi_ad9122_channel.v
|
||||
|
|
|
@ -17,14 +17,13 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_dac_common.v
|
||||
M_DEPS += ../common/up_dac_channel.v
|
||||
M_DEPS += axi_ad9144_channel.v
|
||||
M_DEPS += axi_ad9144_core.v
|
||||
M_DEPS += axi_ad9144_if.v
|
||||
M_DEPS += axi_ad9144.v
|
||||
M_DEPS += axi_ad9144_constr.xdc
|
||||
M_DEPS += ../common/ad_axi_ip_constr.xdc
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
|
|
|
@ -17,7 +17,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_dac_common.v
|
||||
M_DEPS += ../common/up_dac_channel.v
|
||||
M_DEPS += axi_ad9152_channel.v
|
||||
|
|
|
@ -10,13 +10,10 @@ M_DEPS += ../scripts/adi_env.tcl
|
|||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/ad_pnmon.v
|
||||
M_DEPS += ../common/ad_datafmt.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += axi_ad9234_pnmon.v
|
||||
|
|
|
@ -15,8 +15,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += axi_ad9250_pnmon.v
|
||||
|
|
|
@ -18,7 +18,6 @@ M_DEPS += ../common/up_xfer_status.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
|
|
|
@ -27,7 +27,6 @@ M_DEPS += ../common/up_xfer_cntrl.v
|
|||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/up_dac_common.v
|
||||
|
|
|
@ -17,7 +17,6 @@ M_DEPS += ../common/up_xfer_cntrl.v
|
|||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/ad_pnmon.v
|
||||
|
|
|
@ -17,7 +17,6 @@ M_DEPS += ../common/up_xfer_status.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
|
|
|
@ -16,8 +16,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += axi_ad9625_pnmon.v
|
||||
|
|
|
@ -20,7 +20,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
|
|
|
@ -19,7 +19,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
|
|
|
@ -15,8 +15,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += ../common/ad_mem.v
|
||||
|
|
|
@ -15,15 +15,13 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
M_DEPS += axi_ad9680_pnmon.v
|
||||
M_DEPS += axi_ad9680_channel.v
|
||||
M_DEPS += axi_ad9680_if.v
|
||||
M_DEPS += axi_ad9680.v
|
||||
M_DEPS += axi_ad9680_constr.xdc
|
||||
M_DEPS += ../common/ad_axi_ip_constr.xdc
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
|
|
|
@ -18,7 +18,6 @@ M_DEPS += ../common/up_axi.v
|
|||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_dac_common.v
|
||||
M_DEPS += ../common/up_dac_channel.v
|
||||
M_DEPS += axi_ad9739a_channel.v
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../scripts/adi_ip.tcl
|
|||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/ad_mmcm_drp.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_clkgen.v
|
||||
M_DEPS += axi_clkgen_constr.xdc
|
||||
M_DEPS += axi_clkgen.v
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../scripts/adi_ip.tcl
|
|||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
|
|
|
@ -21,6 +21,7 @@ M_DEPS += ../common/up_xfer_cntrl.v
|
|||
M_DEPS += ../common/up_hdmi_rx.v
|
||||
M_DEPS += axi_hdmi_rx.v
|
||||
M_DEPS += axi_hdmi_rx_es.v
|
||||
M_DEPS += axi_hdmi_rx_tpm.v
|
||||
M_DEPS += axi_hdmi_rx_constr.xdc
|
||||
M_DEPS += axi_hdmi_rx_core.v
|
||||
|
||||
|
|
|
@ -14,9 +14,6 @@ M_DEPS += ../common/ad_gt_es.v
|
|||
M_DEPS += ../common/ad_jesd_align.v
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_gt.v
|
||||
M_DEPS += axi_jesd_gt.v
|
||||
M_DEPS += axi_jesd_gt_constr.xdc
|
||||
|
|
|
@ -11,11 +11,9 @@ M_DEPS += ../scripts/adi_ip.tcl
|
|||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
M_DEPS += ../common/up_adc_channel.v
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../scripts/adi_ip.tcl
|
|||
M_DEPS += ../common/ad_rst.v
|
||||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
|
|
|
@ -12,7 +12,6 @@ M_DEPS += ../common/ad_rst.v
|
|||
M_DEPS += ../common/up_axi.v
|
||||
M_DEPS += ../common/up_delay_cntrl.v
|
||||
M_DEPS += ../common/up_clock_mon.v
|
||||
M_DEPS += ../common/up_drp_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_cntrl.v
|
||||
M_DEPS += ../common/up_xfer_status.v
|
||||
M_DEPS += ../common/up_adc_common.v
|
||||
|
|
|
@ -8,6 +8,7 @@
|
|||
M_DEPS := util_cpack_ip.tcl
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += ../common/altera/system_stap.v
|
||||
M_DEPS += util_cpack_mux.v
|
||||
M_DEPS += util_cpack_dsf.v
|
||||
M_DEPS += util_cpack.v
|
||||
|
|
|
@ -8,8 +8,7 @@
|
|||
M_DEPS := util_wfifo_ip.tcl
|
||||
M_DEPS += ../scripts/adi_env.tcl
|
||||
M_DEPS += ../scripts/adi_ip.tcl
|
||||
M_DEPS += ../common/ad_axis_inf_rx.v
|
||||
M_DEPS += util_wfifo_constr.xdc
|
||||
M_DEPS += ../common/ad_mem.v
|
||||
M_DEPS += util_wfifo.v
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
|
|
@ -13,6 +13,7 @@ all:
|
|||
-make -C ad9467_fmc all
|
||||
-make -C ad9739a_fmc all
|
||||
-make -C adv7511 all
|
||||
-make -C arradio all
|
||||
-make -C cftl_cip all
|
||||
-make -C cftl_std all
|
||||
-make -C cn0363 all
|
||||
|
@ -42,6 +43,7 @@ clean:
|
|||
make -C ad9467_fmc clean
|
||||
make -C ad9739a_fmc clean
|
||||
make -C adv7511 clean
|
||||
make -C arradio clean
|
||||
make -C cftl_cip clean
|
||||
make -C cftl_std clean
|
||||
make -C cn0363 clean
|
||||
|
@ -71,6 +73,7 @@ clean-all:
|
|||
make -C ad9467_fmc clean-all
|
||||
make -C ad9739a_fmc clean-all
|
||||
make -C adv7511 clean-all
|
||||
make -C arradio clean-all
|
||||
make -C cftl_cip clean-all
|
||||
make -C cftl_std clean-all
|
||||
make -C cn0363 clean-all
|
||||
|
|
|
@ -11,14 +11,12 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/ad9265_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr
|
||||
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
||||
|
@ -49,7 +47,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
ad9265_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
|
||||
|
@ -63,7 +60,6 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
####################################################################################
|
||||
|
|
|
@ -8,72 +8,8 @@
|
|||
M_DEPS := system_project.tcl
|
||||
M_DEPS += ../../scripts/adi_env.tcl
|
||||
M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl
|
||||
M_DEPS += system_timing.tcl
|
||||
M_DEPS += system_constr.sdc
|
||||
M_DEPS += system_top.v
|
||||
M_DEPS += system_bd.qsys
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack_hw.tcl
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_rst.v
|
||||
M_DEPS += ../../../library/common/altera/ad_lvds_clk.v
|
||||
M_DEPS += ../../../library/common/altera/ad_lvds_in.v
|
||||
M_DEPS += ../../../library/common/altera/ad_lvds_out.v
|
||||
M_DEPS += ../../../library/common/altera/MULT_MACRO.v
|
||||
M_DEPS += ../../../library/common/altera/DSP48E1.v
|
||||
M_DEPS += ../../../library/common/ad_mul.v
|
||||
M_DEPS += ../../../library/common/ad_pnmon.v
|
||||
M_DEPS += ../../../library/common/ad_dds_sine.v
|
||||
M_DEPS += ../../../library/common/ad_dds_1.v
|
||||
M_DEPS += ../../../library/common/ad_dds.v
|
||||
M_DEPS += ../../../library/common/ad_datafmt.v
|
||||
M_DEPS += ../../../library/common/ad_dcfilter.v
|
||||
M_DEPS += ../../../library/common/ad_iqcor.v
|
||||
M_DEPS += ../../../library/common/up_axi.v
|
||||
M_DEPS += ../../../library/common/up_xfer_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_xfer_status.v
|
||||
M_DEPS += ../../../library/common/up_clock_mon.v
|
||||
M_DEPS += ../../../library/common/up_delay_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_drp_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_adc_common.v
|
||||
M_DEPS += ../../../library/common/up_adc_channel.v
|
||||
M_DEPS += ../../../library/common/up_dac_common.v
|
||||
M_DEPS += ../../../library/common/up_dac_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_tx.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_alt_lvds_rx.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_dev_if_alt.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
|
||||
M_DEPS += ../../../library/common/sync_bits.v
|
||||
M_DEPS += ../../../library/common/sync_gray.v
|
||||
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
|
||||
M_DEPS += ../../../library/axi_dmac/inc_id.h
|
||||
M_DEPS += ../../../library/axi_dmac/resp.h
|
||||
M_DEPS += ../../../library/axi_dmac/address_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/data_mover.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_arb.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_handler.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
|
||||
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/splitter.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
|
||||
M_DEPS += $ad_hdl_dir/projects/scripts/adi_tquest.tcl
|
||||
M_DEPS += 0
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
|
@ -8,68 +8,8 @@
|
|||
M_DEPS := system_project.tcl
|
||||
M_DEPS += ../../scripts/adi_env.tcl
|
||||
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
|
||||
M_DEPS += system_timing.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_jesd_align.v
|
||||
M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v
|
||||
M_DEPS += ../common/daq2_spi.v
|
||||
M_DEPS += system_constr.sdc
|
||||
M_DEPS += system_top.v
|
||||
M_DEPS += system_bd.qsys
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_hw.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_rst.v
|
||||
M_DEPS += ../../../library/common/altera/MULT_MACRO.v
|
||||
M_DEPS += ../../../library/common/ad_mul.v
|
||||
M_DEPS += ../../../library/common/ad_dds_sine.v
|
||||
M_DEPS += ../../../library/common/ad_dds_1.v
|
||||
M_DEPS += ../../../library/common/ad_dds.v
|
||||
M_DEPS += ../../../library/common/ad_rst.v
|
||||
M_DEPS += ../../../library/common/up_axi.v
|
||||
M_DEPS += ../../../library/common/up_xfer_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_xfer_status.v
|
||||
M_DEPS += ../../../library/common/up_clock_mon.v
|
||||
M_DEPS += ../../../library/common/up_drp_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_dac_common.v
|
||||
M_DEPS += ../../../library/common/up_dac_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_if.v
|
||||
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
|
||||
M_DEPS += ../../../library/common/sync_bits.v
|
||||
M_DEPS += ../../../library/common/sync_gray.v
|
||||
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
|
||||
M_DEPS += ../../../library/axi_dmac/inc_id.h
|
||||
M_DEPS += ../../../library/axi_dmac/resp.h
|
||||
M_DEPS += ../../../library/axi_dmac/address_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/data_mover.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_arb.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_handler.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
|
||||
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/splitter.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl
|
||||
M_DEPS += ../../../library/common/ad_pnmon.v
|
||||
M_DEPS += ../../../library/common/ad_datafmt.v
|
||||
M_DEPS += ../../../library/common/up_delay_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_adc_common.v
|
||||
M_DEPS += ../../../library/common/up_adc_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_pnmon.v
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_if.v
|
||||
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.v
|
||||
M_DEPS += $ad_hdl_dir/projects/scripts/adi_tquest.tcl
|
||||
M_DEPS += 0
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
|
@ -19,8 +19,6 @@ M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
|
||||
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
@ -54,8 +52,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_bsplit clean
|
||||
make -C ../../../library/util_ccat clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
@ -72,8 +68,6 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_bsplit
|
||||
make -C ../../../library/util_ccat
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_upack
|
||||
|
|
|
@ -19,8 +19,6 @@ M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
|
||||
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
@ -54,8 +52,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_bsplit clean
|
||||
make -C ../../../library/util_ccat clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
@ -72,8 +68,6 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_bsplit
|
||||
make -C ../../../library/util_ccat
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_upack
|
||||
|
|
|
@ -19,8 +19,6 @@ M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
|
||||
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
@ -54,8 +52,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_bsplit clean
|
||||
make -C ../../../library/util_ccat clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
@ -72,8 +68,6 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_bsplit
|
||||
make -C ../../../library/util_ccat
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_upack
|
||||
|
|
|
@ -24,8 +24,6 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
|||
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
|
||||
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
|
||||
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
|
@ -63,8 +61,6 @@ clean-all:clean
|
|||
make -C ../../../library/axi_jesd_gt clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adcfifo clean
|
||||
make -C ../../../library/util_bsplit clean
|
||||
make -C ../../../library/util_ccat clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_dacfifo clean
|
||||
make -C ../../../library/util_upack clean
|
||||
|
@ -85,8 +81,6 @@ lib:
|
|||
make -C ../../../library/axi_jesd_gt
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adcfifo
|
||||
make -C ../../../library/util_bsplit
|
||||
make -C ../../../library/util_ccat
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_dacfifo
|
||||
make -C ../../../library/util_upack
|
||||
|
|
|
@ -8,56 +8,8 @@
|
|||
M_DEPS := system_project.tcl
|
||||
M_DEPS += ../../scripts/adi_env.tcl
|
||||
M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl
|
||||
M_DEPS += system_timing.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_jesd_align.v
|
||||
M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v
|
||||
M_DEPS += ../common/fmcjesdadc1_spi.v
|
||||
M_DEPS += system_constr.sdc
|
||||
M_DEPS += system_top.v
|
||||
M_DEPS += system_bd.qsys
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_rst.v
|
||||
M_DEPS += ../../../library/common/ad_pnmon.v
|
||||
M_DEPS += ../../../library/common/ad_datafmt.v
|
||||
M_DEPS += ../../../library/common/up_axi.v
|
||||
M_DEPS += ../../../library/common/up_xfer_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_xfer_status.v
|
||||
M_DEPS += ../../../library/common/up_clock_mon.v
|
||||
M_DEPS += ../../../library/common/up_drp_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_delay_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_adc_common.v
|
||||
M_DEPS += ../../../library/common/up_adc_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_pnmon.v
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_if.v
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v
|
||||
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
|
||||
M_DEPS += ../../../library/common/sync_bits.v
|
||||
M_DEPS += ../../../library/common/sync_gray.v
|
||||
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
|
||||
M_DEPS += ../../../library/axi_dmac/inc_id.h
|
||||
M_DEPS += ../../../library/axi_dmac/resp.h
|
||||
M_DEPS += ../../../library/axi_dmac/address_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/data_mover.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_arb.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_handler.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
|
||||
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/splitter.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
|
||||
M_DEPS += $ad_hdl_dir/projects/scripts/adi_tquest.tcl
|
||||
M_DEPS += 0
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
|
@ -8,13 +8,8 @@
|
|||
M_DEPS := system_project.tcl
|
||||
M_DEPS += ../../scripts/adi_env.tcl
|
||||
M_DEPS += ../../common/a5soc/a5soc_system_assign.tcl
|
||||
M_DEPS += system_timing.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_jesd_align.v
|
||||
M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v
|
||||
M_DEPS += ../common/fmcjesdadc1_spi.v
|
||||
M_DEPS += system_constr.sdc
|
||||
M_DEPS += system_top.v
|
||||
M_DEPS += system_bd.qsys
|
||||
M_DEPS += $ad_hdl_dir/projects/scripts/adi_tquest.tcl
|
||||
M_DEPS += 0
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
|
@ -11,12 +11,13 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../common/ac701/ac701_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,6 +47,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9122 clean
|
||||
make -C ../../../library/axi_ad9643 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -58,6 +61,8 @@ lib:
|
|||
make -C ../../../library/axi_ad9122
|
||||
make -C ../../../library/axi_ad9643
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,12 +11,13 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../common/kc705/kc705_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,6 +47,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9122 clean
|
||||
make -C ../../../library/axi_ad9643 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -58,6 +61,8 @@ lib:
|
|||
make -C ../../../library/axi_ad9122
|
||||
make -C ../../../library/axi_ad9643
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,12 +11,13 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../common/vc707/vc707_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,6 +47,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_ad9122 clean
|
||||
make -C ../../../library/axi_ad9643 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -58,6 +61,8 @@ lib:
|
|||
make -C ../../../library/axi_ad9122
|
||||
make -C ../../../library/axi_ad9643
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
|
@ -19,6 +18,8 @@ M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -51,6 +52,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -66,6 +69,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
|
@ -19,6 +18,8 @@ M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -51,6 +52,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -66,6 +69,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,7 +11,6 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/zed/zed_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms1_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
|
||||
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
|
||||
|
@ -20,7 +19,9 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -54,7 +55,9 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_i2c_mixer clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -71,7 +74,9 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_i2c_mixer
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -8,7 +8,6 @@
|
|||
.PHONY: all clean clean-all
|
||||
all:
|
||||
-make -C ac701 all
|
||||
-make -C c5soc all
|
||||
-make -C kc705 all
|
||||
-make -C mitx045 all
|
||||
-make -C rfsom all
|
||||
|
@ -21,7 +20,6 @@ all:
|
|||
|
||||
clean:
|
||||
make -C ac701 clean
|
||||
make -C c5soc clean
|
||||
make -C kc705 clean
|
||||
make -C mitx045 clean
|
||||
make -C rfsom clean
|
||||
|
@ -34,7 +32,6 @@ clean:
|
|||
|
||||
clean-all:
|
||||
make -C ac701 clean-all
|
||||
make -C c5soc clean-all
|
||||
make -C kc705 clean-all
|
||||
make -C mitx045 clean-all
|
||||
make -C rfsom clean-all
|
||||
|
|
|
@ -16,8 +16,8 @@ M_DEPS += ../common/fmcomms2_bd.tcl
|
|||
M_DEPS += ../../common/ac701/ac701_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,8 +46,8 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9361 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -59,8 +59,8 @@ fmcomms2_ac701.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9361
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -16,8 +16,8 @@ M_DEPS += ../common/fmcomms2_bd.tcl
|
|||
M_DEPS += ../../common/kc705/kc705_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,8 +46,8 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9361 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -59,8 +59,8 @@ fmcomms2_kc705.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9361
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -20,8 +20,8 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -54,8 +54,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -71,8 +71,8 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -20,8 +20,8 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -54,8 +54,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -71,8 +71,8 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,13 +11,12 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms2_bd.tcl
|
||||
M_DEPS += ../../common/vc707/vc707_system_mig.prj
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -46,8 +45,8 @@ clean:
|
|||
clean-all:clean
|
||||
make -C ../../../library/axi_ad9361 clean
|
||||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -59,8 +58,8 @@ fmcomms2_vc707.sdk/system_top.hdf: $(M_DEPS)
|
|||
lib:
|
||||
make -C ../../../library/axi_ad9361
|
||||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -18,8 +18,8 @@ M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -51,8 +51,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -67,8 +67,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -11,15 +11,14 @@ M_DEPS += ../../scripts/adi_project.tcl
|
|||
M_DEPS += ../../scripts/adi_board.tcl
|
||||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms2_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
|
||||
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -51,8 +50,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -67,8 +66,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -12,15 +12,14 @@ M_DEPS += ../../scripts/adi_board.tcl
|
|||
M_DEPS += system_bd.tcl
|
||||
M_DEPS += ../zc706/system_bd.tcl
|
||||
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
|
||||
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
|
||||
M_DEPS += ../common/fmcomms2_bd.tcl
|
||||
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
|
||||
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -52,8 +51,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -68,8 +67,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -19,9 +19,9 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -54,9 +54,9 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_i2c_mixer clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -72,9 +72,9 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_i2c_mixer
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -21,8 +21,8 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -55,8 +55,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -72,8 +72,8 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -19,8 +19,8 @@ M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
|
|||
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
|
||||
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
|
||||
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
|
||||
M_DEPS += ../../../library/util_upack/util_upack.xpr
|
||||
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
|
||||
|
||||
M_VIVADO := vivado -mode batch -source
|
||||
|
@ -52,8 +52,8 @@ clean-all:clean
|
|||
make -C ../../../library/axi_dmac clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_adc_pack clean
|
||||
make -C ../../../library/util_dac_unpack clean
|
||||
make -C ../../../library/util_cpack clean
|
||||
make -C ../../../library/util_upack clean
|
||||
make -C ../../../library/util_wfifo clean
|
||||
|
||||
|
||||
|
@ -68,8 +68,8 @@ lib:
|
|||
make -C ../../../library/axi_dmac
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_adc_pack
|
||||
make -C ../../../library/util_dac_unpack
|
||||
make -C ../../../library/util_cpack
|
||||
make -C ../../../library/util_upack
|
||||
make -C ../../../library/util_wfifo
|
||||
|
||||
####################################################################################
|
||||
|
|
|
@ -17,6 +17,7 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
|
|||
M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr
|
||||
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
|
||||
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_rx/axi_spdif_rx.xpr
|
||||
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
|
||||
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
|
||||
|
||||
|
@ -49,6 +50,7 @@ clean-all:clean
|
|||
make -C ../../../library/axi_hdmi_rx clean
|
||||
make -C ../../../library/axi_hdmi_tx clean
|
||||
make -C ../../../library/axi_i2s_adi clean
|
||||
make -C ../../../library/axi_spdif_rx clean
|
||||
make -C ../../../library/axi_spdif_tx clean
|
||||
make -C ../../../library/util_i2c_mixer clean
|
||||
|
||||
|
@ -64,6 +66,7 @@ lib:
|
|||
make -C ../../../library/axi_hdmi_rx
|
||||
make -C ../../../library/axi_hdmi_tx
|
||||
make -C ../../../library/axi_i2s_adi
|
||||
make -C ../../../library/axi_spdif_rx
|
||||
make -C ../../../library/axi_spdif_tx
|
||||
make -C ../../../library/util_i2c_mixer
|
||||
|
||||
|
|
|
@ -8,56 +8,8 @@
|
|||
M_DEPS := system_project.tcl
|
||||
M_DEPS += ../../scripts/adi_env.tcl
|
||||
M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl
|
||||
M_DEPS += system_timing.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_jesd_align.v
|
||||
M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v
|
||||
M_DEPS += ../common/usdrx1_spi.v
|
||||
M_DEPS += system_constr.sdc
|
||||
M_DEPS += system_top.v
|
||||
M_DEPS += system_bd.qsys
|
||||
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl
|
||||
M_DEPS += ../../../library/common/altera/ad_rst.v
|
||||
M_DEPS += ../../../library/common/ad_pnmon.v
|
||||
M_DEPS += ../../../library/common/ad_datafmt.v
|
||||
M_DEPS += ../../../library/common/up_axi.v
|
||||
M_DEPS += ../../../library/common/up_xfer_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_xfer_status.v
|
||||
M_DEPS += ../../../library/common/up_clock_mon.v
|
||||
M_DEPS += ../../../library/common/up_drp_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_delay_cntrl.v
|
||||
M_DEPS += ../../../library/common/up_adc_common.v
|
||||
M_DEPS += ../../../library/common/up_adc_channel.v
|
||||
M_DEPS += ../../../library/common/ad_mem.v
|
||||
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_pnmon.v
|
||||
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_if.v
|
||||
M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v
|
||||
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl
|
||||
M_DEPS += ../../../library/common/sync_bits.v
|
||||
M_DEPS += ../../../library/common/sync_gray.v
|
||||
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
|
||||
M_DEPS += ../../../library/util_axis_fifo/address_sync.v
|
||||
M_DEPS += ../../../library/axi_dmac/inc_id.h
|
||||
M_DEPS += ../../../library/axi_dmac/resp.h
|
||||
M_DEPS += ../../../library/axi_dmac/address_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/data_mover.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_arb.v
|
||||
M_DEPS += ../../../library/axi_dmac/request_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_handler.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_register_slice.v
|
||||
M_DEPS += ../../../library/axi_dmac/2d_transfer.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
|
||||
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
|
||||
M_DEPS += ../../../library/axi_dmac/splitter.v
|
||||
M_DEPS += ../../../library/axi_dmac/response_generator.v
|
||||
M_DEPS += ../../../library/axi_dmac/axi_dmac.v
|
||||
M_DEPS += $ad_hdl_dir/projects/scripts/adi_tquest.tcl
|
||||
M_DEPS += 0
|
||||
|
||||
|
||||
M_ALTERA := quartus_sh --64bit -t
|
||||
|
|
Loading…
Reference in New Issue