m2k: Update constraints

main
AndreiGrozav 2020-05-11 16:34:54 +03:00 committed by AndreiGrozav
parent 4d39a3595f
commit 4766d01915
1 changed files with 0 additions and 2 deletions

View File

@ -70,8 +70,6 @@ create_clock -name clk_fpga_0 -period 36 [get_pins "i_system_wrapper/system_i/sy
create_clock -name clk_fpga_1 -period 5 [get_pins "i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[1]"]
create_clock -name clk_fpga_3 -period 18 [get_pins "i_system_wrapper/system_i/sys_ps7/inst/PS7_i/FCLKCLK[3]"]
set_false_path -from [get_clocks data_clk] -to [get_cells {i_system_wrapper/system_i/logic_analyzer/inst/genblk*data_m*}]
set_clock_groups -name exclusive_ -physically_exclusive \
-group [get_clocks data_clk] -group [get_clocks rx_clk]