From 49f50829fac9455db647e7fff662ba8ddecbfda1 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Thu, 12 Mar 2015 16:49:46 +0200 Subject: [PATCH] axi_i2s_adi: Fixed pins directions --- library/axi_i2s_adi/axi_i2s_adi.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/library/axi_i2s_adi/axi_i2s_adi.vhd b/library/axi_i2s_adi/axi_i2s_adi.vhd index 9d3bd5a6a..b1728d22b 100644 --- a/library/axi_i2s_adi/axi_i2s_adi.vhd +++ b/library/axi_i2s_adi/axi_i2s_adi.vhd @@ -99,10 +99,10 @@ entity axi_i2s_adi is S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; - S_AXI_WREADY : inout std_logic; + S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); - S_AXI_BVALID : inout std_logic; - S_AXI_AWREADY : inout std_logic + S_AXI_BVALID : out std_logic; + S_AXI_AWREADY : out std_logic ); end entity axi_i2s_adi;