diff --git a/projects/adrv9371x/a10gx/Makefile b/projects/adrv9371x/a10gx/Makefile index 3043a2397..7ef5f0702 100644 --- a/projects/adrv9371x/a10gx/Makefile +++ b/projects/adrv9371x/a10gx/Makefile @@ -29,8 +29,11 @@ M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v -M_DEPS += ../../../library/altera/common/ad_mem_asym.v M_DEPS += ../../../library/altera/common/ad_mul.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v @@ -59,7 +62,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index 05fc09887..8213daea7 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -27,6 +27,8 @@ M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_byteenable_coder.v +M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_byteenable_decoder.v M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_constr.sdc M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_hw.tcl M_DEPS += ../../../library/altera/avl_dacfifo/avl_dacfifo_rd.v @@ -37,6 +39,10 @@ M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_mem_asym.v M_DEPS += ../../../library/altera/common/ad_mul.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v @@ -65,12 +71,13 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v +M_DEPS += ../../../library/common/ad_b2g.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_g2b.v M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v @@ -85,6 +92,7 @@ M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/common/util_dacfifo_bypass.v +M_DEPS += ../../../library/common/util_delay.v M_DEPS += ../../../library/scripts/adi_env.tcl M_DEPS += ../../../library/scripts/adi_ip_alt.tcl M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index 5b995d72e..9c3e0886c 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -26,6 +26,10 @@ M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_serdes_in_core_c5.v M_DEPS += ../../../library/altera/common/ad_serdes_out_core_c5.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v @@ -59,7 +63,6 @@ M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/common/ad_addsub.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v diff --git a/projects/daq1/a10gx/Makefile b/projects/daq1/a10gx/Makefile index 2e4c2cd42..c36894ee1 100644 --- a/projects/daq1/a10gx/Makefile +++ b/projects/daq1/a10gx/Makefile @@ -22,8 +22,11 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/altera/sys_gen.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -M_DEPS += ../../../library/altera/common/ad_mem_asym.v M_DEPS += ../../../library/altera/common/ad_mul.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9122/axi_ad9122.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122_channel.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122_constr.sdc @@ -56,7 +59,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index 36f7ae2aa..ee00e6751 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -28,8 +28,11 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v -M_DEPS += ../../../library/altera/common/ad_mem_asym.v M_DEPS += ../../../library/altera/common/ad_mul.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v @@ -60,7 +63,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v diff --git a/projects/daq3/a10gx/Makefile b/projects/daq3/a10gx/Makefile index fa86340f0..e5a940f0b 100644 --- a/projects/daq3/a10gx/Makefile +++ b/projects/daq3/a10gx/Makefile @@ -28,8 +28,11 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v -M_DEPS += ../../../library/altera/common/ad_mem_asym.v M_DEPS += ../../../library/altera/common/ad_mul.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v @@ -60,7 +63,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index 87cadb6a3..668c724d3 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -27,6 +27,10 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl @@ -52,7 +56,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index 61570a358..c7009de61 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -27,6 +27,10 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl @@ -52,7 +56,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v diff --git a/projects/fmcomms2/a10gx/Makefile b/projects/fmcomms2/a10gx/Makefile index 7cd0bdb91..721d6b8b5 100644 --- a/projects/fmcomms2/a10gx/Makefile +++ b/projects/fmcomms2/a10gx/Makefile @@ -26,6 +26,10 @@ M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_serdes_in_core_c5.v M_DEPS += ../../../library/altera/common/ad_serdes_out_core_c5.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v @@ -59,7 +63,6 @@ M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/common/ad_addsub.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v diff --git a/projects/m2k/standalone/Makefile b/projects/m2k/standalone/Makefile index a956bf490..92b2e1015 100644 --- a/projects/m2k/standalone/Makefile +++ b/projects/m2k/standalone/Makefile @@ -22,6 +22,7 @@ M_DEPS += ../../../library/axi_dac_interpolate/axi_dac_interpolate.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_logic_analyzer/axi_logic_analyzer.xpr M_DEPS += ../../../library/axi_rd_wr_combiner/axi_rd_wr_combiner.xpr +M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_extract/util_extract.xpr M_DEPS += ../../../library/util_var_fifo/util_var_fifo.xpr @@ -59,6 +60,7 @@ clean-all:clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_logic_analyzer clean make -C ../../../library/axi_rd_wr_combiner clean + make -C ../../../library/util_cpack clean make -C ../../../library/util_extract clean make -C ../../../library/util_var_fifo clean @@ -76,6 +78,7 @@ lib: make -C ../../../library/axi_dmac make -C ../../../library/axi_logic_analyzer make -C ../../../library/axi_rd_wr_combiner + make -C ../../../library/util_cpack make -C ../../../library/util_extract make -C ../../../library/util_var_fifo diff --git a/projects/m2k/zed/Makefile b/projects/m2k/zed/Makefile index 5603fbc41..70eec2397 100644 --- a/projects/m2k/zed/Makefile +++ b/projects/m2k/zed/Makefile @@ -28,6 +28,7 @@ M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_logic_analyzer/axi_logic_analyzer.xpr M_DEPS += ../../../library/axi_rd_wr_combiner/axi_rd_wr_combiner.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_extract/util_extract.xpr M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/util_var_fifo/util_var_fifo.xpr @@ -70,6 +71,7 @@ clean-all:clean make -C ../../../library/axi_logic_analyzer clean make -C ../../../library/axi_rd_wr_combiner clean make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_cpack clean make -C ../../../library/util_extract clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_var_fifo clean @@ -92,6 +94,7 @@ lib: make -C ../../../library/axi_logic_analyzer make -C ../../../library/axi_rd_wr_combiner make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_cpack make -C ../../../library/util_extract make -C ../../../library/util_i2c_mixer make -C ../../../library/util_var_fifo diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index 0814c4ea9..d64a55e0e 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -27,7 +27,10 @@ M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v -M_DEPS += ../../../library/altera/common/ad_mem_asym.v +M_DEPS += ../../../library/altera/common/up_clock_mon_constr.sdc +M_DEPS += ../../../library/altera/common/up_rst_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_cntrl_constr.sdc +M_DEPS += ../../../library/altera/common/up_xfer_status_constr.sdc M_DEPS += ../../../library/axi_ad9671/axi_ad9671.v M_DEPS += ../../../library/axi_ad9671/axi_ad9671_channel.v M_DEPS += ../../../library/axi_ad9671/axi_ad9671_hw.tcl @@ -53,7 +56,6 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_axi_ip_constr.sdc M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_mem.v