util_adcfifo: added

main
Rejeesh Kutty 2015-04-07 16:08:38 -04:00
parent dfaa6f6571
commit 4f7f109056
7 changed files with 33 additions and 33 deletions

View File

@ -31,7 +31,7 @@ clean:
make -C library/axi_ad9739a clean
make -C library/axi_clkgen clean
make -C library/axi_dmac clean
make -C library/axi_fifo2f clean
make -C library/util_adcfifo clean
make -C library/axi_fifo2s clean
make -C library/axi_hdmi_rx clean
make -C library/axi_hdmi_tx clean
@ -77,7 +77,7 @@ lib:
-make -C axi_ad9739a
-make -C axi_clkgen
-make -C axi_dmac
-make -C axi_fifo2f
-make -C util_adcfifo
-make -C axi_fifo2s
-make -C axi_hdmi_rx
-make -C axi_hdmi_tx

View File

@ -27,23 +27,23 @@ proc p_sys_dmafifo {p_name m_name adc_data_width dma_addr_width} {
create_bd_pin -dir I dma_xfer_req
create_bd_pin -dir O -from 3 -to 0 dma_xfer_status
set axi_fifo2f [create_bd_cell -type ip -vlnv analog.com:user:axi_fifo2f:1.0 axi_fifo2f]
set_property -dict [list CONFIG.ADC_DATA_WIDTH $adc_data_width] $axi_fifo2f
set_property -dict [list CONFIG.DMA_DATA_WIDTH {64}] $axi_fifo2f
set_property -dict [list CONFIG.DMA_READY_ENABLE {1}] $axi_fifo2f
set_property -dict [list CONFIG.DMA_ADDR_WIDTH $dma_addr_width] $axi_fifo2f
set util_adcfifo [create_bd_cell -type ip -vlnv analog.com:user:util_adcfifo:1.0 util_adcfifo]
set_property -dict [list CONFIG.ADC_DATA_WIDTH $adc_data_width] $util_adcfifo
set_property -dict [list CONFIG.DMA_DATA_WIDTH {64}] $util_adcfifo
set_property -dict [list CONFIG.DMA_READY_ENABLE {1}] $util_adcfifo
set_property -dict [list CONFIG.DMA_ADDR_WIDTH $dma_addr_width] $util_adcfifo
ad_connect adc_rst axi_fifo2f/adc_rst
ad_connect adc_clk axi_fifo2f/adc_clk
ad_connect adc_wr axi_fifo2f/adc_wr
ad_connect adc_wdata axi_fifo2f/adc_wdata
ad_connect adc_wovf axi_fifo2f/adc_wovf
ad_connect dma_clk axi_fifo2f/dma_clk
ad_connect dma_wr axi_fifo2f/dma_wr
ad_connect dma_wdata axi_fifo2f/dma_wdata
ad_connect dma_wready axi_fifo2f/dma_wready
ad_connect dma_xfer_req axi_fifo2f/dma_xfer_req
ad_connect dma_xfer_status axi_fifo2f/dma_xfer_status
ad_connect adc_rst util_adcfifo/adc_rst
ad_connect adc_clk util_adcfifo/adc_clk
ad_connect adc_wr util_adcfifo/adc_wr
ad_connect adc_wdata util_adcfifo/adc_wdata
ad_connect adc_wovf util_adcfifo/adc_wovf
ad_connect dma_clk util_adcfifo/dma_clk
ad_connect dma_wr util_adcfifo/dma_wr
ad_connect dma_wdata util_adcfifo/dma_wdata
ad_connect dma_wready util_adcfifo/dma_wready
ad_connect dma_xfer_req util_adcfifo/dma_xfer_req
ad_connect dma_xfer_status util_adcfifo/dma_xfer_status
current_bd_instance $c_instance
}

View File

@ -17,7 +17,7 @@ M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
@ -41,7 +41,7 @@ clean-all:
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
@ -57,7 +57,7 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144

View File

@ -18,7 +18,7 @@ M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
@ -42,7 +42,7 @@ clean-all:
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
@ -58,7 +58,7 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144

View File

@ -17,7 +17,7 @@ M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
@ -41,7 +41,7 @@ clean-all:
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
@ -57,7 +57,7 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_fifo2f
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144

View File

@ -17,7 +17,7 @@ M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
@ -39,7 +39,7 @@ clean-all:
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
@ -53,7 +53,7 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/axi_fifo2f
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
####################################################################################

View File

@ -17,7 +17,7 @@ M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_fifo2f/axi_fifo2f.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
@ -39,7 +39,7 @@ clean-all:
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_fifo2f clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
@ -53,7 +53,7 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/axi_fifo2f
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
####################################################################################