From 51b643b978484de7022c3245c7888694bc8a4ea7 Mon Sep 17 00:00:00 2001 From: Laszlo Nagy Date: Thu, 30 Sep 2021 14:20:02 +0100 Subject: [PATCH] Makefile: Fix misc makefiles from projects and library --- library/Makefile | 8 +++++++ library/axi_adrv9001/Makefile | 5 +++++ library/axi_dmac/Makefile | 2 +- library/axi_tdd/Makefile | 2 +- library/data_offload/Makefile | 8 +++---- .../jesd204/ad_ip_jesd204_tpl_dac/Makefile | 4 ++-- library/jesd204/jesd204_rx/Makefile | 12 +++++++++-- library/jesd204/jesd204_tx/Makefile | 9 ++++++-- library/spi_engine/axi_spi_engine/Makefile | 2 -- .../spi_engine/spi_engine_execution/Makefile | 2 +- library/util_axis_fifo/Makefile | 2 +- library/util_axis_fifo_asym/Makefile | 2 +- library/xilinx/util_adxcvr/Makefile | 3 +++ projects/ad7768evb/zed/Makefile | 2 +- projects/ad9081_fmca_ebz/a10soc/Makefile | 5 +++-- projects/ad9081_fmca_ebz/vcu118/Makefile | 11 +++++++++- projects/ad9081_fmca_ebz/zc706/Makefile | 12 ++++++++++- projects/ad9081_fmca_ebz/zcu102/Makefile | 13 +++++++++--- projects/ad9082_fmca_ebz/Makefile | 7 +++++++ projects/ad9082_fmca_ebz/vcu118/Makefile | 18 ++++++++++++---- projects/ad9082_fmca_ebz/zc706/Makefile | 21 ++++++++++++++----- projects/ad9082_fmca_ebz/zcu102/Makefile | 18 ++++++++++++---- projects/ad9083_evb/zcu102/Makefile | 5 ++--- projects/adrv9001/a10soc/Makefile | 3 +++ projects/cn0501/Makefile | 7 +++++++ projects/cn0501/coraz7s/Makefile | 6 +++--- projects/cn0540/coraz7s/Makefile | 1 + projects/daq2/kc705/Makefile | 3 +++ projects/daq2/kcu105/Makefile | 3 +++ projects/daq2/zc706/Makefile | 10 +++------ projects/daq2/zcu102/Makefile | 3 +++ 31 files changed, 158 insertions(+), 51 deletions(-) create mode 100644 projects/ad9082_fmca_ebz/Makefile create mode 100644 projects/cn0501/Makefile diff --git a/library/Makefile b/library/Makefile index 2f1176630..f164964f7 100644 --- a/library/Makefile +++ b/library/Makefile @@ -53,6 +53,7 @@ clean: $(MAKE) -C axi_mc_current_monitor clean $(MAKE) -C axi_mc_speed clean $(MAKE) -C axi_pulse_gen clean + $(MAKE) -C axi_pwm_gen clean $(MAKE) -C axi_rd_wr_combiner clean $(MAKE) -C axi_spdif_rx clean $(MAKE) -C axi_spdif_tx clean @@ -86,6 +87,8 @@ clean: $(MAKE) -C jesd204/jesd204_soft_pcs_tx clean $(MAKE) -C jesd204/jesd204_tx clean $(MAKE) -C jesd204/jesd204_tx_static_config clean + $(MAKE) -C jesd204/jesd204_versal_gt_adapter_rx clean + $(MAKE) -C jesd204/jesd204_versal_gt_adapter_tx clean $(MAKE) -C spi_engine/axi_spi_engine clean $(MAKE) -C spi_engine/spi_engine_execution clean $(MAKE) -C spi_engine/spi_engine_interconnect clean @@ -93,6 +96,7 @@ clean: $(MAKE) -C sysid_rom clean $(MAKE) -C util_adcfifo clean $(MAKE) -C util_axis_fifo clean + $(MAKE) -C util_axis_fifo_asym clean $(MAKE) -C util_axis_resize clean $(MAKE) -C util_axis_upscale clean $(MAKE) -C util_bsplit clean @@ -170,6 +174,7 @@ lib: $(MAKE) -C axi_mc_current_monitor $(MAKE) -C axi_mc_speed $(MAKE) -C axi_pulse_gen + $(MAKE) -C axi_pwm_gen $(MAKE) -C axi_rd_wr_combiner $(MAKE) -C axi_spdif_rx $(MAKE) -C axi_spdif_tx @@ -203,6 +208,8 @@ lib: $(MAKE) -C jesd204/jesd204_soft_pcs_tx $(MAKE) -C jesd204/jesd204_tx $(MAKE) -C jesd204/jesd204_tx_static_config + $(MAKE) -C jesd204/jesd204_versal_gt_adapter_rx + $(MAKE) -C jesd204/jesd204_versal_gt_adapter_tx $(MAKE) -C spi_engine/axi_spi_engine $(MAKE) -C spi_engine/spi_engine_execution $(MAKE) -C spi_engine/spi_engine_interconnect @@ -210,6 +217,7 @@ lib: $(MAKE) -C sysid_rom $(MAKE) -C util_adcfifo $(MAKE) -C util_axis_fifo + $(MAKE) -C util_axis_fifo_asym $(MAKE) -C util_axis_resize $(MAKE) -C util_axis_upscale $(MAKE) -C util_bsplit diff --git a/library/axi_adrv9001/Makefile b/library/axi_adrv9001/Makefile index 01a7fc33a..af8035e7f 100644 --- a/library/axi_adrv9001/Makefile +++ b/library/axi_adrv9001/Makefile @@ -6,6 +6,7 @@ LIBRARY_NAME := axi_adrv9001 +GENERIC_DEPS += ../common/ad_addsub.v GENERIC_DEPS += ../common/ad_datafmt.v GENERIC_DEPS += ../common/ad_dds.v GENERIC_DEPS += ../common/ad_dds_1.v @@ -16,6 +17,7 @@ GENERIC_DEPS += ../common/ad_dds_sine_cordic.v GENERIC_DEPS += ../common/ad_pngen.v GENERIC_DEPS += ../common/ad_pnmon.v GENERIC_DEPS += ../common/ad_rst.v +GENERIC_DEPS += ../common/ad_tdd_control.v GENERIC_DEPS += ../common/up_adc_channel.v GENERIC_DEPS += ../common/up_adc_common.v GENERIC_DEPS += ../common/up_axi.v @@ -23,6 +25,7 @@ GENERIC_DEPS += ../common/up_clock_mon.v GENERIC_DEPS += ../common/up_dac_channel.v GENERIC_DEPS += ../common/up_dac_common.v GENERIC_DEPS += ../common/up_delay_cntrl.v +GENERIC_DEPS += ../common/up_tdd_cntrl.v GENERIC_DEPS += ../common/up_xfer_cntrl.v GENERIC_DEPS += ../common/up_xfer_status.v GENERIC_DEPS += adrv9001_aligner4.v @@ -35,6 +38,7 @@ GENERIC_DEPS += axi_adrv9001_core.v GENERIC_DEPS += axi_adrv9001_if.v GENERIC_DEPS += axi_adrv9001_rx.v GENERIC_DEPS += axi_adrv9001_rx_channel.v +GENERIC_DEPS += axi_adrv9001_tdd.v GENERIC_DEPS += axi_adrv9001_tx.v GENERIC_DEPS += axi_adrv9001_tx_channel.v @@ -59,6 +63,7 @@ INTEL_DEPS += ../intel/common/up_clock_mon_constr.sdc INTEL_DEPS += ../intel/common/up_rst_constr.sdc INTEL_DEPS += ../intel/common/up_xfer_cntrl_constr.sdc INTEL_DEPS += ../intel/common/up_xfer_status_constr.sdc +INTEL_DEPS += ../util_cdc/sync_bits.v INTEL_DEPS += axi_adrv9001_constr.sdc INTEL_DEPS += axi_adrv9001_hw.tcl INTEL_DEPS += intel/adrv9001_rx.v diff --git a/library/axi_dmac/Makefile b/library/axi_dmac/Makefile index 517493903..af4dd5d29 100644 --- a/library/axi_dmac/Makefile +++ b/library/axi_dmac/Makefile @@ -48,8 +48,8 @@ XILINX_DEPS += ../interfaces/fifo_wr_rtl.xml XILINX_LIB_DEPS += util_axis_fifo XILINX_LIB_DEPS += util_cdc -INTEL_DEPS += ../util_axis_fifo/util_axis_fifo_address_generator.v INTEL_DEPS += ../util_axis_fifo/util_axis_fifo.v +INTEL_DEPS += ../util_axis_fifo/util_axis_fifo_address_generator.v INTEL_DEPS += ../util_cdc/sync_bits.v INTEL_DEPS += ../util_cdc/sync_event.v INTEL_DEPS += axi_dmac_constr.sdc diff --git a/library/axi_tdd/Makefile b/library/axi_tdd/Makefile index db13112e5..d943c8d9b 100644 --- a/library/axi_tdd/Makefile +++ b/library/axi_tdd/Makefile @@ -8,10 +8,10 @@ LIBRARY_NAME := axi_tdd GENERIC_DEPS += ../common/ad_addsub.v GENERIC_DEPS += ../common/ad_tdd_control.v +GENERIC_DEPS += ../common/up_axi.v GENERIC_DEPS += ../common/up_tdd_cntrl.v GENERIC_DEPS += ../common/up_xfer_cntrl.v GENERIC_DEPS += ../common/up_xfer_status.v -GENERIC_DEPS += ../common/up_axi.v GENERIC_DEPS += axi_tdd.v XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc diff --git a/library/data_offload/Makefile b/library/data_offload/Makefile index 6dca564a8..d608b7661 100644 --- a/library/data_offload/Makefile +++ b/library/data_offload/Makefile @@ -6,15 +6,15 @@ LIBRARY_NAME := data_offload -GENERIC_DEPS += ../common/up_axi.v -GENERIC_DEPS += ../common/ad_mem_asym.v GENERIC_DEPS += ../common/ad_axis_inf_rx.v +GENERIC_DEPS += ../common/ad_mem_asym.v +GENERIC_DEPS += ../common/up_axi.v +GENERIC_DEPS += data_offload.v GENERIC_DEPS += data_offload_fsm.v GENERIC_DEPS += data_offload_regmap.v -GENERIC_DEPS += data_offload.v -XILINX_DEPS += data_offload_constr.ttcl XILINX_DEPS += data_offload_ip.tcl +XILINX_DEPS += data_offload_sv.ttcl XILINX_LIB_DEPS += util_axis_fifo_asym XILINX_LIB_DEPS += util_cdc diff --git a/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile b/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile index 024e1ffd6..676fdda32 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile +++ b/library/jesd204/ad_ip_jesd204_tpl_dac/Makefile @@ -6,8 +6,6 @@ LIBRARY_NAME := ad_ip_jesd204_tpl_dac -GENERIC_DEPS += ../../common/ad_mux.v -GENERIC_DEPS += ../../common/ad_mux_core.v GENERIC_DEPS += ../../common/ad_dds.v GENERIC_DEPS += ../../common/ad_dds_1.v GENERIC_DEPS += ../../common/ad_dds_2.v @@ -15,6 +13,8 @@ GENERIC_DEPS += ../../common/ad_dds_cordic_pipe.v GENERIC_DEPS += ../../common/ad_dds_sine.v GENERIC_DEPS += ../../common/ad_dds_sine_cordic.v GENERIC_DEPS += ../../common/ad_iqcor.v +GENERIC_DEPS += ../../common/ad_mux.v +GENERIC_DEPS += ../../common/ad_mux_core.v GENERIC_DEPS += ../../common/ad_perfect_shuffle.v GENERIC_DEPS += ../../common/ad_rst.v GENERIC_DEPS += ../../common/up_axi.v diff --git a/library/jesd204/jesd204_rx/Makefile b/library/jesd204/jesd204_rx/Makefile index 290abab92..a0252c7df 100644 --- a/library/jesd204/jesd204_rx/Makefile +++ b/library/jesd204/jesd204_rx/Makefile @@ -16,13 +16,15 @@ GENERIC_DEPS += jesd204_rx_ctrl.v GENERIC_DEPS += jesd204_rx_frame_align.v GENERIC_DEPS += jesd204_rx_lane.v +XILINX_DEPS += ../../common/ad_pack.v +XILINX_DEPS += bd/bd.tcl XILINX_DEPS += error_monitor.v XILINX_DEPS += jesd204_rx_constr.ttcl -XILINX_DEPS += jesd204_rx_ooc.ttcl XILINX_DEPS += jesd204_rx_ctrl_64b.v XILINX_DEPS += jesd204_rx_header.v XILINX_DEPS += jesd204_rx_ip.tcl XILINX_DEPS += jesd204_rx_lane_64b.v +XILINX_DEPS += jesd204_rx_ooc.ttcl XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_cfg_rtl.xml @@ -32,13 +34,19 @@ XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_ilas_config.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_ilas_config_rtl.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_status.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_rx_status_rtl.xml -XILINX_DEPS += bd/bd.tcl XILINX_LIB_DEPS += jesd204/jesd204_common +XILINX_LIB_DEPS += util_cdc XILINX_INTERFACE_DEPS += jesd204/interfaces +INTEL_DEPS += ../../../../library/common/ad_pack.v +INTEL_DEPS += ../../util_cdc/sync_bits.v +INTEL_DEPS += ../../util_cdc/sync_data.v +INTEL_DEPS += ../../util_cdc/sync_event.v INTEL_DEPS += ../jesd204_common/jesd204_eof_generator.v +INTEL_DEPS += ../jesd204_common/jesd204_frame_align_replace.v +INTEL_DEPS += ../jesd204_common/jesd204_frame_mark.v INTEL_DEPS += ../jesd204_common/jesd204_lmfc.v INTEL_DEPS += ../jesd204_common/jesd204_scrambler.v INTEL_DEPS += ../jesd204_common/pipeline_stage.v diff --git a/library/jesd204/jesd204_tx/Makefile b/library/jesd204/jesd204_tx/Makefile index b8f7b5ece..8aadbff2e 100644 --- a/library/jesd204/jesd204_tx/Makefile +++ b/library/jesd204/jesd204_tx/Makefile @@ -6,15 +6,18 @@ LIBRARY_NAME := jesd204_tx +GENERIC_DEPS += ../../common/ad_upack.v GENERIC_DEPS += jesd204_tx.v GENERIC_DEPS += jesd204_tx_ctrl.v +GENERIC_DEPS += jesd204_tx_gearbox.v GENERIC_DEPS += jesd204_tx_lane.v +XILINX_DEPS += bd/bd.tcl XILINX_DEPS += jesd204_tx_constr.ttcl -XILINX_DEPS += jesd204_tx_ooc.ttcl XILINX_DEPS += jesd204_tx_header.v XILINX_DEPS += jesd204_tx_ip.tcl XILINX_DEPS += jesd204_tx_lane_64b.v +XILINX_DEPS += jesd204_tx_ooc.ttcl XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_cfg_rtl.xml @@ -26,7 +29,6 @@ XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_ilas_config_rtl.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_status.xml XILINX_DEPS += ../../jesd204/interfaces/jesd204_tx_status_rtl.xml -XILINX_DEPS += bd/bd.tcl XILINX_LIB_DEPS += jesd204/jesd204_common XILINX_LIB_DEPS += util_cdc @@ -34,7 +36,10 @@ XILINX_LIB_DEPS += util_cdc XILINX_INTERFACE_DEPS += jesd204/interfaces INTEL_DEPS += ../../util_cdc/sync_bits.v +INTEL_DEPS += ../../util_cdc/sync_event.v INTEL_DEPS += ../jesd204_common/jesd204_eof_generator.v +INTEL_DEPS += ../jesd204_common/jesd204_frame_align_replace.v +INTEL_DEPS += ../jesd204_common/jesd204_frame_mark.v INTEL_DEPS += ../jesd204_common/jesd204_lmfc.v INTEL_DEPS += ../jesd204_common/jesd204_scrambler.v INTEL_DEPS += ../jesd204_common/pipeline_stage.v diff --git a/library/spi_engine/axi_spi_engine/Makefile b/library/spi_engine/axi_spi_engine/Makefile index 232578b6b..8fa60e839 100644 --- a/library/spi_engine/axi_spi_engine/Makefile +++ b/library/spi_engine/axi_spi_engine/Makefile @@ -10,8 +10,6 @@ GENERIC_DEPS += ../../common/ad_rst.v GENERIC_DEPS += ../../common/up_axi.v GENERIC_DEPS += axi_spi_engine.v -XILINX_DEPS += ../../common/ad_rst.v -XILINX_DEPS += ../../common/up_axi.v XILINX_DEPS += ../../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += axi_spi_engine_constr.ttcl XILINX_DEPS += axi_spi_engine_ip.tcl diff --git a/library/spi_engine/spi_engine_execution/Makefile b/library/spi_engine/spi_engine_execution/Makefile index a27363003..1d67a3c8b 100644 --- a/library/spi_engine/spi_engine_execution/Makefile +++ b/library/spi_engine/spi_engine_execution/Makefile @@ -8,8 +8,8 @@ LIBRARY_NAME := spi_engine_execution GENERIC_DEPS += spi_engine_execution.v -XILINX_DEPS += spi_engine_execution_ip.tcl XILINX_DEPS += spi_engine_execution_constr.ttcl +XILINX_DEPS += spi_engine_execution_ip.tcl XILINX_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl.xml XILINX_DEPS += ../../spi_engine/interfaces/spi_engine_ctrl_rtl.xml diff --git a/library/util_axis_fifo/Makefile b/library/util_axis_fifo/Makefile index 125be4419..6207862ed 100644 --- a/library/util_axis_fifo/Makefile +++ b/library/util_axis_fifo/Makefile @@ -8,8 +8,8 @@ LIBRARY_NAME := util_axis_fifo GENERIC_DEPS += ../common/ad_mem.v GENERIC_DEPS += ../common/ad_mem_asym.v -GENERIC_DEPS += util_axis_fifo_address_generator.v GENERIC_DEPS += util_axis_fifo.v +GENERIC_DEPS += util_axis_fifo_address_generator.v XILINX_DEPS += util_axis_fifo_ip.tcl diff --git a/library/util_axis_fifo_asym/Makefile b/library/util_axis_fifo_asym/Makefile index 9620b130f..ef0a7d742 100644 --- a/library/util_axis_fifo_asym/Makefile +++ b/library/util_axis_fifo_asym/Makefile @@ -10,7 +10,7 @@ GENERIC_DEPS += util_axis_fifo_asym.v XILINX_DEPS += util_axis_fifo_asym_ip.tcl -XILINX_LIB_DEPS += util_cdc XILINX_LIB_DEPS += util_axis_fifo +XILINX_LIB_DEPS += util_cdc include ../scripts/library.mk diff --git a/library/xilinx/util_adxcvr/Makefile b/library/xilinx/util_adxcvr/Makefile index ca4ad36b7..0ab442825 100644 --- a/library/xilinx/util_adxcvr/Makefile +++ b/library/xilinx/util_adxcvr/Makefile @@ -6,6 +6,7 @@ LIBRARY_NAME := util_adxcvr +XILINX_DEPS += ../../jesd204/jesd204_common/sync_header_align.v XILINX_DEPS += bd/bd.tcl XILINX_DEPS += util_adxcvr.v XILINX_DEPS += util_adxcvr_constr.xdc @@ -18,6 +19,8 @@ XILINX_DEPS += ../../interfaces/if_xcvr_ch_rtl.xml XILINX_DEPS += ../../interfaces/if_xcvr_cm.xml XILINX_DEPS += ../../interfaces/if_xcvr_cm_rtl.xml +XILINX_LIB_DEPS += util_cdc + XILINX_INTERFACE_DEPS += interfaces include ../../scripts/library.mk diff --git a/projects/ad7768evb/zed/Makefile b/projects/ad7768evb/zed/Makefile index 122324173..f1ac37f5c 100644 --- a/projects/ad7768evb/zed/Makefile +++ b/projects/ad7768evb/zed/Makefile @@ -15,13 +15,13 @@ M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_generic_adc LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_i2s_adi LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid LIB_DEPS += sysid_rom LIB_DEPS += util_i2c_mixer -LIB_DEPS += axi_generic_adc LIB_DEPS += util_pack/util_cpack2 include ../../scripts/project-xilinx.mk diff --git a/projects/ad9081_fmca_ebz/a10soc/Makefile b/projects/ad9081_fmca_ebz/a10soc/Makefile index 4f1238495..3ecf09c6d 100644 --- a/projects/ad9081_fmca_ebz/a10soc/Makefile +++ b/projects/ad9081_fmca_ebz/a10soc/Makefile @@ -8,10 +8,11 @@ PROJECT_NAME := ad9081_fmca_ebz_a10soc M_DEPS += ../common/ad9081_fmca_ebz_qsys.tcl M_DEPS += ../../scripts/adi_pd.tcl -M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl -M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/intel/dacfifo_qsys.tcl M_DEPS += ../../common/intel/adcfifo_qsys.tcl +M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl +M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl +M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid diff --git a/projects/ad9081_fmca_ebz/vcu118/Makefile b/projects/ad9081_fmca_ebz/vcu118/Makefile index 57e1ee95b..2485bcb9d 100644 --- a/projects/ad9081_fmca_ebz/vcu118/Makefile +++ b/projects/ad9081_fmca_ebz/vcu118/Makefile @@ -7,29 +7,38 @@ PROJECT_NAME := ad9081_fmca_ebz_vcu118 M_DEPS += timing_constr.xdc -M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../scripts/adi_pd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 +LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/ad9081_fmca_ebz/zc706/Makefile b/projects/ad9081_fmca_ebz/zc706/Makefile index 9943038b1..58fcbb91c 100644 --- a/projects/ad9081_fmca_ebz/zc706/Makefile +++ b/projects/ad9081_fmca_ebz/zc706/Makefile @@ -7,11 +7,15 @@ PROJECT_NAME := ad9081_fmca_ebz_zc706 M_DEPS += timing_constr.xdc -M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v @@ -21,17 +25,23 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid +LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 +LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/ad9081_fmca_ebz/zcu102/Makefile b/projects/ad9081_fmca_ebz/zcu102/Makefile index 7d5885914..0712ebeb5 100644 --- a/projects/ad9081_fmca_ebz/zcu102/Makefile +++ b/projects/ad9081_fmca_ebz/zcu102/Makefile @@ -7,13 +7,15 @@ PROJECT_NAME := ad9081_fmca_ebz_zcu102 M_DEPS += timing_constr.xdc -M_DEPS += ../common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl -M_DEPS += ../../common/xilinx/data_offload_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v @@ -21,18 +23,23 @@ M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom +LIB_DEPS += util_adcfifo +LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += data_offload include ../../scripts/project-xilinx.mk diff --git a/projects/ad9082_fmca_ebz/Makefile b/projects/ad9082_fmca_ebz/Makefile new file mode 100644 index 000000000..2458d9876 --- /dev/null +++ b/projects/ad9082_fmca_ebz/Makefile @@ -0,0 +1,7 @@ +#################################################################################### +## Copyright (c) 2018 - 2021 Analog Devices, Inc. +### SPDX short identifier: BSD-1-Clause +## Auto-generated, do not modify! +#################################################################################### + +include ../scripts/project-toplevel.mk diff --git a/projects/ad9082_fmca_ebz/vcu118/Makefile b/projects/ad9082_fmca_ebz/vcu118/Makefile index d1d8481d9..aed0ee48c 100644 --- a/projects/ad9082_fmca_ebz/vcu118/Makefile +++ b/projects/ad9082_fmca_ebz/vcu118/Makefile @@ -6,32 +6,42 @@ PROJECT_NAME := ad9082_fmca_ebz_vcu118 -M_DEPS += ../../ad9081_fmca_ebz/vcu118/timing_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/vcu118/system_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/vcu118/system_top.v -M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../scripts/adi_pd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/vcu118/vcu118_system_constr.xdc M_DEPS += ../../common/vcu118/vcu118_system_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/vcu118/timing_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/vcu118/system_top.v +M_DEPS += ../../ad9081_fmca_ebz/vcu118/system_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/vcu118/system_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 +LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/ad9082_fmca_ebz/zc706/Makefile b/projects/ad9082_fmca_ebz/zc706/Makefile index ddf0ff18f..f7306cfe0 100644 --- a/projects/ad9082_fmca_ebz/zc706/Makefile +++ b/projects/ad9082_fmca_ebz/zc706/Makefile @@ -4,16 +4,21 @@ ## Auto-generated, do not modify! #################################################################################### -PROJECT_NAME := ad9081_fmca_ebz_zc706 +PROJECT_NAME := ad9082_fmca_ebz_zc706 -M_DEPS += ../../ad9081_fmca_ebz/zc706/timing_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/zc706/system_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/zc706/system_top.v -M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/zc706/timing_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/zc706/system_top.v +M_DEPS += ../../ad9081_fmca_ebz/zc706/system_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/zc706/system_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v @@ -23,17 +28,23 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid +LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 +LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/ad9082_fmca_ebz/zcu102/Makefile b/projects/ad9082_fmca_ebz/zcu102/Makefile index e8870e1b0..df2b646b3 100644 --- a/projects/ad9082_fmca_ebz/zcu102/Makefile +++ b/projects/ad9082_fmca_ebz/zcu102/Makefile @@ -6,32 +6,42 @@ PROJECT_NAME := ad9082_fmca_ebz_zcu102 -M_DEPS += ../../ad9081_fmca_ebz/zcu102/timing_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/zcu102/system_constr.xdc -M_DEPS += ../../ad9081_fmca_ebz/zcu102/system_top.v -M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl +M_DEPS += ../../../library/common/ad_edge_detect.v M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/zcu102/timing_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/zcu102/system_top.v +M_DEPS += ../../ad9081_fmca_ebz/zcu102/system_constr.xdc +M_DEPS += ../../ad9081_fmca_ebz/zcu102/system_bd.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/versal_transceiver.tcl +M_DEPS += ../../ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/common/ad_3w_spi.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += axi_tdd +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_rx +LIB_DEPS += jesd204/jesd204_versal_gt_adapter_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 +LIB_DEPS += util_tdd_sync LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr diff --git a/projects/ad9083_evb/zcu102/Makefile b/projects/ad9083_evb/zcu102/Makefile index f88596894..c86a1766a 100644 --- a/projects/ad9083_evb/zcu102/Makefile +++ b/projects/ad9083_evb/zcu102/Makefile @@ -7,14 +7,13 @@ PROJECT_NAME := ad9083_evb_zcu102 M_DEPS += ../common/ad9083_evb_bd.tcl -M_DEPS += ../../../library/common/ad_3w_spi.v +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../common/xilinx/adcfifo_bd.tcl +M_DEPS += ../../../library/common/ad_3w_spi.v -LIB_DEPS += util_adcfifo LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc diff --git a/projects/adrv9001/a10soc/Makefile b/projects/adrv9001/a10soc/Makefile index 371a8274c..af8778e51 100644 --- a/projects/adrv9001/a10soc/Makefile +++ b/projects/adrv9001/a10soc/Makefile @@ -7,12 +7,15 @@ PROJECT_NAME := adrv9001_a10soc M_DEPS += ../common/adrv9001_qsys.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_plddr4_assign.tcl LIB_DEPS += axi_adrv9001 LIB_DEPS += axi_dmac +LIB_DEPS += axi_sysid +LIB_DEPS += sysid_rom LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += util_rfifo diff --git a/projects/cn0501/Makefile b/projects/cn0501/Makefile new file mode 100644 index 000000000..2458d9876 --- /dev/null +++ b/projects/cn0501/Makefile @@ -0,0 +1,7 @@ +#################################################################################### +## Copyright (c) 2018 - 2021 Analog Devices, Inc. +### SPDX short identifier: BSD-1-Clause +## Auto-generated, do not modify! +#################################################################################### + +include ../scripts/project-toplevel.mk diff --git a/projects/cn0501/coraz7s/Makefile b/projects/cn0501/coraz7s/Makefile index 77e0273a4..2fe3acc92 100644 --- a/projects/cn0501/coraz7s/Makefile +++ b/projects/cn0501/coraz7s/Makefile @@ -7,16 +7,16 @@ PROJECT_NAME := cn0501_coraz7s M_DEPS += ../common/cn0501_bd.tcl -M_DEPS += ../../ad7768evb/common/ad7768_if.v +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_ps7.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_constr.xdc M_DEPS += ../../common/coraz7s/coraz7s_system_bd.tcl +M_DEPS += ../../ad7768evb/common/ad7768_if.v -LIB_DEPS += axi_clkgen LIB_DEPS += axi_dmac +LIB_DEPS += axi_generic_adc LIB_DEPS += axi_sysid LIB_DEPS += sysid_rom -LIB_DEPS += axi_generic_adc LIB_DEPS += util_pack/util_cpack2 include ../../scripts/project-xilinx.mk diff --git a/projects/cn0540/coraz7s/Makefile b/projects/cn0540/coraz7s/Makefile index f6db58869..d02b8e8b3 100755 --- a/projects/cn0540/coraz7s/Makefile +++ b/projects/cn0540/coraz7s/Makefile @@ -7,6 +7,7 @@ PROJECT_NAME := cn0540_coraz7s M_DEPS += ../common/cn0540_bd.tcl +M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_ps7.tcl M_DEPS += ../../common/coraz7s/coraz7s_system_constr.xdc M_DEPS += ../../common/coraz7s/coraz7s_system_bd.tcl diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 47ae3b424..5064df4e8 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -9,6 +9,7 @@ PROJECT_NAME := daq2_kc705 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj @@ -19,6 +20,7 @@ M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx @@ -28,6 +30,7 @@ LIB_DEPS += jesd204/jesd204_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index b9f11106a..a83e5ed47 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -9,6 +9,7 @@ PROJECT_NAME := daq2_kcu105 M_DEPS += ../common/daq2_spi.v M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc @@ -18,6 +19,7 @@ M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx @@ -27,6 +29,7 @@ LIB_DEPS += jesd204/jesd204_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 97e150897..f83affca5 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -12,8 +12,7 @@ M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc -M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl -M_DEPS += ../../common/xilinx/dacfifo_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl M_DEPS += ../../../library/common/ad_iobuf.v @@ -22,6 +21,7 @@ LIB_DEPS += axi_dmac LIB_DEPS += axi_hdmi_tx LIB_DEPS += axi_spdif_tx LIB_DEPS += axi_sysid +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx @@ -29,14 +29,10 @@ LIB_DEPS += jesd204/axi_jesd204_tx LIB_DEPS += jesd204/jesd204_rx LIB_DEPS += jesd204/jesd204_tx LIB_DEPS += sysid_rom -LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 -LIB_DEPS += xilinx/axi_adcfifo LIB_DEPS += xilinx/axi_adxcvr LIB_DEPS += xilinx/util_adxcvr -LIB_DEPS += util_axis_fifo_asym -LIB_DEPS += data_offload -LIB_DEPS += util_fifo2axi_bridge include ../../scripts/project-xilinx.mk diff --git a/projects/daq2/zcu102/Makefile b/projects/daq2/zcu102/Makefile index 186cbc133..b654a6856 100644 --- a/projects/daq2/zcu102/Makefile +++ b/projects/daq2/zcu102/Makefile @@ -11,6 +11,7 @@ M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../scripts/adi_pd.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl +M_DEPS += ../../common/xilinx/data_offload_bd.tcl M_DEPS += ../../common/xilinx/dacfifo_bd.tcl M_DEPS += ../../common/xilinx/adcfifo_bd.tcl M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl @@ -18,6 +19,7 @@ M_DEPS += ../../../library/common/ad_iobuf.v LIB_DEPS += axi_dmac LIB_DEPS += axi_sysid +LIB_DEPS += data_offload LIB_DEPS += jesd204/ad_ip_jesd204_tpl_adc LIB_DEPS += jesd204/ad_ip_jesd204_tpl_dac LIB_DEPS += jesd204/axi_jesd204_rx @@ -27,6 +29,7 @@ LIB_DEPS += jesd204/jesd204_tx LIB_DEPS += sysid_rom LIB_DEPS += util_adcfifo LIB_DEPS += util_dacfifo +LIB_DEPS += util_fifo2axi_bridge LIB_DEPS += util_pack/util_cpack2 LIB_DEPS += util_pack/util_upack2 LIB_DEPS += xilinx/axi_adxcvr