From 51e35e081f2b960197e2af0c9f77f432ed24beb9 Mon Sep 17 00:00:00 2001 From: Laszlo Nagy Date: Tue, 22 Jan 2019 13:20:15 +0000 Subject: [PATCH] fmcadc2: update adcfifo/dacfifo --- projects/fmcadc2/common/fmcadc2_bd.tcl | 6 ++++++ projects/fmcadc2/vc707/system_bd.tcl | 3 --- projects/fmcadc2/zc706/system_bd.tcl | 3 --- 3 files changed, 6 insertions(+), 6 deletions(-) diff --git a/projects/fmcadc2/common/fmcadc2_bd.tcl b/projects/fmcadc2/common/fmcadc2_bd.tcl index c1fc2aac7..a3d8e64b5 100644 --- a/projects/fmcadc2/common/fmcadc2_bd.tcl +++ b/projects/fmcadc2/common/fmcadc2_bd.tcl @@ -1,6 +1,10 @@ source $ad_hdl_dir/library/jesd204/scripts/jesd204.tcl +set adc_fifo_name axi_ad9625_fifo +set adc_data_width 256 +set adc_dma_data_width 64 + # adc peripherals ad_ip_instance axi_ad9625 axi_ad9625_core @@ -28,6 +32,8 @@ ad_ip_parameter axi_ad9625_dma CONFIG.CYCLIC 0 ad_ip_parameter axi_ad9625_dma CONFIG.DMA_DATA_WIDTH_SRC 64 ad_ip_parameter axi_ad9625_dma CONFIG.DMA_DATA_WIDTH_DEST 64 +ad_adcfifo_create $adc_fifo_name $adc_data_width $adc_dma_data_width $adc_fifo_address_width + ad_ip_instance util_adxcvr util_fmcadc2_xcvr ad_ip_parameter util_fmcadc2_xcvr CONFIG.QPLL_FBDIV 0x80 ;# N = 40 ad_ip_parameter util_fmcadc2_xcvr CONFIG.CPLL_FBDIV 1 diff --git a/projects/fmcadc2/vc707/system_bd.tcl b/projects/fmcadc2/vc707/system_bd.tcl index 7c6326dbd..d4340c4ff 100644 --- a/projects/fmcadc2/vc707/system_bd.tcl +++ b/projects/fmcadc2/vc707/system_bd.tcl @@ -1,9 +1,6 @@ ## FIFO depth is 16Mb - 1M samples -set adc_fifo_name axi_ad9625_fifo set adc_fifo_address_width 18 -set adc_data_width 256 -set adc_dma_data_width 64 ## NOTE: With this configuration the #36Kb BRAM utilization is at ~68% diff --git a/projects/fmcadc2/zc706/system_bd.tcl b/projects/fmcadc2/zc706/system_bd.tcl index 9678b203e..a80b70766 100644 --- a/projects/fmcadc2/zc706/system_bd.tcl +++ b/projects/fmcadc2/zc706/system_bd.tcl @@ -1,8 +1,5 @@ -set adc_fifo_name axi_ad9625_fifo set adc_fifo_address_width 18 -set adc_data_width 256 -set adc_dma_data_width 64 source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_adcfifo_bd.tcl