From 5b58fb67f0bf5f69ca8e5dd5eb9090fb21887bac Mon Sep 17 00:00:00 2001 From: Lars-Peter Clausen Date: Fri, 5 May 2017 19:40:46 +0200 Subject: [PATCH] library: Remove empty constraint files Most of the cores are fully covered by the generic constraint files. When the constraints where moved from the core specific to the generic constraint files some empty core constraints files where left around. These don't do anything, so remove them. Signed-off-by: Lars-Peter Clausen --- library/axi_ad6676/Makefile | 1 - library/axi_ad6676/axi_ad6676_constr.xdc | 0 library/axi_ad6676/axi_ad6676_ip.tcl | 1 - library/axi_ad9250/Makefile | 1 - library/axi_ad9250/axi_ad9250_constr.xdc | 1 - library/axi_ad9250/axi_ad9250_ip.tcl | 1 - library/axi_ad9265/Makefile | 1 - library/axi_ad9265/axi_ad9265_constr.xdc | 0 library/axi_ad9265/axi_ad9265_ip.tcl | 1 - library/axi_ad9467/Makefile | 1 - library/axi_ad9467/axi_ad9467_constr.xdc | 1 - library/axi_ad9467/axi_ad9467_ip.tcl | 1 - library/axi_ad9625/Makefile | 1 - library/axi_ad9625/axi_ad9625_constr.xdc | 1 - library/axi_ad9625/axi_ad9625_ip.tcl | 3 +-- library/axi_ad9671/Makefile | 1 - library/axi_ad9671/axi_ad9671_constr.xdc | 1 - library/axi_ad9671/axi_ad9671_ip.tcl | 1 - library/axi_ad9680/axi_ad9680_constr.xdc | 0 library/axi_ad9739a/Makefile | 1 - library/axi_ad9739a/axi_ad9739a_constr.xdc | 1 - library/axi_ad9739a/axi_ad9739a_ip.tcl | 1 - library/axi_clkgen/Makefile | 1 - library/axi_clkgen/axi_clkgen_constr.xdc | 0 library/axi_clkgen/axi_clkgen_ip.tcl | 1 - library/axi_hdmi_rx/Makefile | 1 - library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc | 0 library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl | 1 - library/axi_mc_controller/Makefile | 1 - library/axi_mc_controller/axi_mc_controller_constr.xdc | 1 - library/axi_mc_controller/axi_mc_controller_ip.tcl | 1 - library/axi_mc_current_monitor/Makefile | 1 - .../axi_mc_current_monitor/axi_mc_current_monitor_constr.xdc | 1 - library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl | 1 - library/axi_mc_speed/Makefile | 1 - library/axi_mc_speed/axi_mc_speed_constr.xdc | 1 - library/axi_mc_speed/axi_mc_speed_ip.tcl | 1 - library/axi_spdif_rx/Makefile | 1 - library/axi_spdif_rx/axi_spdif_rx_constr.xdc | 0 library/axi_spdif_rx/axi_spdif_rx_ip.tcl | 3 +-- library/util_bsplit/Makefile | 1 - library/util_bsplit/util_bsplit_constr.xdc | 0 library/util_bsplit/util_bsplit_ip.tcl | 3 +-- library/util_cpack/Makefile | 1 - library/util_cpack/util_cpack_constr.xdc | 0 library/util_cpack/util_cpack_ip.tcl | 3 +-- library/util_upack/Makefile | 1 - library/util_upack/util_upack_constr.xdc | 0 library/util_upack/util_upack_ip.tcl | 3 +-- 49 files changed, 5 insertions(+), 45 deletions(-) delete mode 100644 library/axi_ad6676/axi_ad6676_constr.xdc delete mode 100644 library/axi_ad9250/axi_ad9250_constr.xdc delete mode 100644 library/axi_ad9265/axi_ad9265_constr.xdc delete mode 100644 library/axi_ad9467/axi_ad9467_constr.xdc delete mode 100644 library/axi_ad9625/axi_ad9625_constr.xdc delete mode 100644 library/axi_ad9671/axi_ad9671_constr.xdc delete mode 100644 library/axi_ad9680/axi_ad9680_constr.xdc delete mode 100644 library/axi_ad9739a/axi_ad9739a_constr.xdc delete mode 100644 library/axi_clkgen/axi_clkgen_constr.xdc delete mode 100644 library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc delete mode 100644 library/axi_mc_controller/axi_mc_controller_constr.xdc delete mode 100644 library/axi_mc_current_monitor/axi_mc_current_monitor_constr.xdc delete mode 100644 library/axi_mc_speed/axi_mc_speed_constr.xdc delete mode 100644 library/axi_spdif_rx/axi_spdif_rx_constr.xdc delete mode 100644 library/util_bsplit/util_bsplit_constr.xdc delete mode 100644 library/util_cpack/util_cpack_constr.xdc delete mode 100644 library/util_upack/util_upack_constr.xdc diff --git a/library/axi_ad6676/Makefile b/library/axi_ad6676/Makefile index 43aa92f33..093a525e7 100644 --- a/library/axi_ad6676/Makefile +++ b/library/axi_ad6676/Makefile @@ -23,7 +23,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad6676_constr.xdc XILINX_DEPS += axi_ad6676_ip.tcl include ../scripts/library.mk diff --git a/library/axi_ad6676/axi_ad6676_constr.xdc b/library/axi_ad6676/axi_ad6676_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_ad6676/axi_ad6676_ip.tcl b/library/axi_ad6676/axi_ad6676_ip.tcl index 14e240f03..f439f7666 100644 --- a/library/axi_ad6676/axi_ad6676_ip.tcl +++ b/library/axi_ad6676/axi_ad6676_ip.tcl @@ -21,7 +21,6 @@ adi_ip_files axi_ad6676 [list \ "axi_ad6676_pnmon.v" \ "axi_ad6676_channel.v" \ "axi_ad6676_if.v" \ - "axi_ad6676_constr.xdc" \ "axi_ad6676.v" ] adi_ip_properties axi_ad6676 diff --git a/library/axi_ad9250/Makefile b/library/axi_ad9250/Makefile index e8331f5bd..1f316f7ad 100644 --- a/library/axi_ad9250/Makefile +++ b/library/axi_ad9250/Makefile @@ -24,7 +24,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9250_constr.xdc XILINX_DEPS += axi_ad9250_ip.tcl ALTERA_DEPS += ../altera/common/up_clock_mon_constr.sdc diff --git a/library/axi_ad9250/axi_ad9250_constr.xdc b/library/axi_ad9250/axi_ad9250_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_ad9250/axi_ad9250_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_ad9250/axi_ad9250_ip.tcl b/library/axi_ad9250/axi_ad9250_ip.tcl index 4a3e890a3..192a7251a 100644 --- a/library/axi_ad9250/axi_ad9250_ip.tcl +++ b/library/axi_ad9250/axi_ad9250_ip.tcl @@ -22,7 +22,6 @@ adi_ip_files axi_ad9250 [list \ "axi_ad9250_pnmon.v" \ "axi_ad9250_channel.v" \ "axi_ad9250_if.v" \ - "axi_ad9250_constr.xdc" \ "axi_ad9250.v" ] adi_ip_properties axi_ad9250 diff --git a/library/axi_ad9265/Makefile b/library/axi_ad9265/Makefile index 1ed4c4bef..ab0318c73 100644 --- a/library/axi_ad9265/Makefile +++ b/library/axi_ad9265/Makefile @@ -27,7 +27,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9265_constr.xdc XILINX_DEPS += axi_ad9265_ip.tcl include ../scripts/library.mk diff --git a/library/axi_ad9265/axi_ad9265_constr.xdc b/library/axi_ad9265/axi_ad9265_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_ad9265/axi_ad9265_ip.tcl b/library/axi_ad9265/axi_ad9265_ip.tcl index 0c225cd08..d90f454c0 100644 --- a/library/axi_ad9265/axi_ad9265_ip.tcl +++ b/library/axi_ad9265/axi_ad9265_ip.tcl @@ -25,7 +25,6 @@ adi_ip_files axi_ad9265 [list \ "axi_ad9265_pnmon.v" \ "axi_ad9265_if.v" \ "axi_ad9265_channel.v" \ - "axi_ad9265_constr.xdc" \ "axi_ad9265.v"] adi_ip_properties axi_ad9265 diff --git a/library/axi_ad9467/Makefile b/library/axi_ad9467/Makefile index 1470cbc9c..caf36cf41 100644 --- a/library/axi_ad9467/Makefile +++ b/library/axi_ad9467/Makefile @@ -26,7 +26,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9467_constr.xdc XILINX_DEPS += axi_ad9467_ip.tcl include ../scripts/library.mk diff --git a/library/axi_ad9467/axi_ad9467_constr.xdc b/library/axi_ad9467/axi_ad9467_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_ad9467/axi_ad9467_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_ad9467/axi_ad9467_ip.tcl b/library/axi_ad9467/axi_ad9467_ip.tcl index 338d4cecf..7da7da6e0 100644 --- a/library/axi_ad9467/axi_ad9467_ip.tcl +++ b/library/axi_ad9467/axi_ad9467_ip.tcl @@ -24,7 +24,6 @@ adi_ip_files axi_ad9467 [list \ "axi_ad9467_pnmon.v" \ "axi_ad9467_if.v" \ "axi_ad9467_channel.v" \ - "axi_ad9467_constr.xdc" \ "axi_ad9467.v"] adi_ip_properties axi_ad9467 diff --git a/library/axi_ad9625/Makefile b/library/axi_ad9625/Makefile index 5a7ecaf79..d79ae57f3 100644 --- a/library/axi_ad9625/Makefile +++ b/library/axi_ad9625/Makefile @@ -25,7 +25,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9625_constr.xdc XILINX_DEPS += axi_ad9625_ip.tcl include ../scripts/library.mk diff --git a/library/axi_ad9625/axi_ad9625_constr.xdc b/library/axi_ad9625/axi_ad9625_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_ad9625/axi_ad9625_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_ad9625/axi_ad9625_ip.tcl b/library/axi_ad9625/axi_ad9625_ip.tcl index 5dc18e699..3b920dac2 100644 --- a/library/axi_ad9625/axi_ad9625_ip.tcl +++ b/library/axi_ad9625/axi_ad9625_ip.tcl @@ -23,8 +23,7 @@ adi_ip_files axi_ad9625 [list \ "axi_ad9625_pnmon.v" \ "axi_ad9625_channel.v" \ "axi_ad9625_if.v" \ - "axi_ad9625.v" \ - "axi_ad9625_constr.xdc" ] + "axi_ad9625.v" ] adi_ip_properties axi_ad9625 diff --git a/library/axi_ad9671/Makefile b/library/axi_ad9671/Makefile index f197d5ec6..4579199fb 100644 --- a/library/axi_ad9671/Makefile +++ b/library/axi_ad9671/Makefile @@ -25,7 +25,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9671_constr.xdc XILINX_DEPS += axi_ad9671_ip.tcl ALTERA_DEPS += ../altera/common/up_clock_mon_constr.sdc diff --git a/library/axi_ad9671/axi_ad9671_constr.xdc b/library/axi_ad9671/axi_ad9671_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_ad9671/axi_ad9671_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_ad9671/axi_ad9671_ip.tcl b/library/axi_ad9671/axi_ad9671_ip.tcl index dcfd7702c..4a2989e3a 100644 --- a/library/axi_ad9671/axi_ad9671_ip.tcl +++ b/library/axi_ad9671/axi_ad9671_ip.tcl @@ -23,7 +23,6 @@ adi_ip_files axi_ad9671 [list \ "axi_ad9671_pnmon.v" \ "axi_ad9671_channel.v" \ "axi_ad9671_if.v" \ - "axi_ad9671_constr.xdc" \ "axi_ad9671.v" ] adi_ip_properties axi_ad9671 diff --git a/library/axi_ad9680/axi_ad9680_constr.xdc b/library/axi_ad9680/axi_ad9680_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_ad9739a/Makefile b/library/axi_ad9739a/Makefile index c39c0cf95..05d4b1f26 100644 --- a/library/axi_ad9739a/Makefile +++ b/library/axi_ad9739a/Makefile @@ -26,7 +26,6 @@ XILINX_DEPS += ../xilinx/common/ad_serdes_out.v XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_ad9739a_constr.xdc XILINX_DEPS += axi_ad9739a_ip.tcl include ../scripts/library.mk diff --git a/library/axi_ad9739a/axi_ad9739a_constr.xdc b/library/axi_ad9739a/axi_ad9739a_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_ad9739a/axi_ad9739a_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_ad9739a/axi_ad9739a_ip.tcl b/library/axi_ad9739a/axi_ad9739a_ip.tcl index 427532926..ecf5657c4 100644 --- a/library/axi_ad9739a/axi_ad9739a_ip.tcl +++ b/library/axi_ad9739a/axi_ad9739a_ip.tcl @@ -24,7 +24,6 @@ adi_ip_files axi_ad9739a [list \ "axi_ad9739a_channel.v" \ "axi_ad9739a_core.v" \ "axi_ad9739a_if.v" \ - "axi_ad9739a_constr.xdc" \ "axi_ad9739a.v" ] adi_ip_properties axi_ad9739a diff --git a/library/axi_clkgen/Makefile b/library/axi_clkgen/Makefile index db2972943..041c35f40 100644 --- a/library/axi_clkgen/Makefile +++ b/library/axi_clkgen/Makefile @@ -11,7 +11,6 @@ GENERIC_DEPS += ../common/up_clkgen.v GENERIC_DEPS += axi_clkgen.v XILINX_DEPS += ../xilinx/common/ad_mmcm_drp.v -XILINX_DEPS += axi_clkgen_constr.xdc XILINX_DEPS += axi_clkgen_ip.tcl XILINX_DEPS += bd/bd.tcl diff --git a/library/axi_clkgen/axi_clkgen_constr.xdc b/library/axi_clkgen/axi_clkgen_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_clkgen/axi_clkgen_ip.tcl b/library/axi_clkgen/axi_clkgen_ip.tcl index 79d3d4d20..48c70a7a7 100644 --- a/library/axi_clkgen/axi_clkgen_ip.tcl +++ b/library/axi_clkgen/axi_clkgen_ip.tcl @@ -9,7 +9,6 @@ adi_ip_files axi_clkgen [list \ "$ad_hdl_dir/library/xilinx/common/ad_mmcm_drp.v" \ "$ad_hdl_dir/library/common/up_axi.v" \ "$ad_hdl_dir/library/common/up_clkgen.v" \ - "axi_clkgen_constr.xdc" \ "bd/bd.tcl" \ "axi_clkgen.v" ] diff --git a/library/axi_hdmi_rx/Makefile b/library/axi_hdmi_rx/Makefile index f92d1c418..0a5c76597 100644 --- a/library/axi_hdmi_rx/Makefile +++ b/library/axi_hdmi_rx/Makefile @@ -25,7 +25,6 @@ XILINX_DEPS += ../xilinx/common/ad_rst_constr.xdc XILINX_DEPS += ../xilinx/common/up_clock_mon_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_cntrl_constr.xdc XILINX_DEPS += ../xilinx/common/up_xfer_status_constr.xdc -XILINX_DEPS += axi_hdmi_rx_constr.xdc XILINX_DEPS += axi_hdmi_rx_ip.tcl include ../scripts/library.mk diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc b/library/axi_hdmi_rx/axi_hdmi_rx_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl b/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl index b3761f2c0..98236bb31 100644 --- a/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl +++ b/library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl @@ -23,7 +23,6 @@ adi_ip_files axi_hdmi_rx [list \ "axi_hdmi_rx.v" \ "axi_hdmi_rx_es.v" \ "axi_hdmi_rx_tpm.v" \ - "axi_hdmi_rx_constr.xdc" \ "axi_hdmi_rx_core.v" ] adi_ip_properties axi_hdmi_rx diff --git a/library/axi_mc_controller/Makefile b/library/axi_mc_controller/Makefile index a07a0affd..58c0bba4e 100644 --- a/library/axi_mc_controller/Makefile +++ b/library/axi_mc_controller/Makefile @@ -11,7 +11,6 @@ GENERIC_DEPS += control_registers.v GENERIC_DEPS += delay.v GENERIC_DEPS += motor_driver.v -XILINX_DEPS += axi_mc_controller_constr.xdc XILINX_DEPS += axi_mc_controller_ip.tcl include ../scripts/library.mk diff --git a/library/axi_mc_controller/axi_mc_controller_constr.xdc b/library/axi_mc_controller/axi_mc_controller_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_mc_controller/axi_mc_controller_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_mc_controller/axi_mc_controller_ip.tcl b/library/axi_mc_controller/axi_mc_controller_ip.tcl index f30a8524c..b006823b1 100644 --- a/library/axi_mc_controller/axi_mc_controller_ip.tcl +++ b/library/axi_mc_controller/axi_mc_controller_ip.tcl @@ -9,7 +9,6 @@ adi_ip_files axi_mc_controller [list \ "motor_driver.v" \ "delay.v" \ "control_registers.v" \ - "axi_mc_controller_constr.xdc" \ "axi_mc_controller.v" ] adi_ip_properties axi_mc_controller diff --git a/library/axi_mc_current_monitor/Makefile b/library/axi_mc_current_monitor/Makefile index 6baf613f8..1b837c753 100644 --- a/library/axi_mc_current_monitor/Makefile +++ b/library/axi_mc_current_monitor/Makefile @@ -16,7 +16,6 @@ GENERIC_DEPS += ad7401.v GENERIC_DEPS += axi_mc_current_monitor.v GENERIC_DEPS += dec256sinc24b.v -XILINX_DEPS += axi_mc_current_monitor_constr.xdc XILINX_DEPS += axi_mc_current_monitor_ip.tcl include ../scripts/library.mk diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor_constr.xdc b/library/axi_mc_current_monitor/axi_mc_current_monitor_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_mc_current_monitor/axi_mc_current_monitor_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl b/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl index c05e1d4a6..dbbce7b01 100644 --- a/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl +++ b/library/axi_mc_current_monitor/axi_mc_current_monitor_ip.tcl @@ -14,7 +14,6 @@ adi_ip_files axi_mc_current_monitor [list \ "$ad_hdl_dir/library/common/up_adc_channel.v" \ "dec256sinc24b.v" \ "ad7401.v" \ - "axi_mc_current_monitor_constr.xdc" \ "axi_mc_current_monitor.v" ] adi_ip_properties axi_mc_current_monitor diff --git a/library/axi_mc_speed/Makefile b/library/axi_mc_speed/Makefile index 665b4ab15..a7ee44d96 100644 --- a/library/axi_mc_speed/Makefile +++ b/library/axi_mc_speed/Makefile @@ -16,7 +16,6 @@ GENERIC_DEPS += debouncer.v GENERIC_DEPS += delay_30_degrees.v GENERIC_DEPS += speed_detector.v -XILINX_DEPS += axi_mc_speed_constr.xdc XILINX_DEPS += axi_mc_speed_ip.tcl include ../scripts/library.mk diff --git a/library/axi_mc_speed/axi_mc_speed_constr.xdc b/library/axi_mc_speed/axi_mc_speed_constr.xdc deleted file mode 100644 index 8b1378917..000000000 --- a/library/axi_mc_speed/axi_mc_speed_constr.xdc +++ /dev/null @@ -1 +0,0 @@ - diff --git a/library/axi_mc_speed/axi_mc_speed_ip.tcl b/library/axi_mc_speed/axi_mc_speed_ip.tcl index 11759b1eb..89c454b6e 100644 --- a/library/axi_mc_speed/axi_mc_speed_ip.tcl +++ b/library/axi_mc_speed/axi_mc_speed_ip.tcl @@ -14,7 +14,6 @@ adi_ip_files axi_mc_speed [list \ "debouncer.v" \ "speed_detector.v" \ "delay_30_degrees.v" \ - "axi_mc_speed_constr.xdc" \ "axi_mc_speed.v" ] adi_ip_properties axi_mc_speed diff --git a/library/axi_spdif_rx/Makefile b/library/axi_spdif_rx/Makefile index 3862b0b23..dcf961c2b 100644 --- a/library/axi_spdif_rx/Makefile +++ b/library/axi_spdif_rx/Makefile @@ -15,7 +15,6 @@ GENERIC_DEPS += rx_package.vhd GENERIC_DEPS += rx_phase_det.vhd GENERIC_DEPS += rx_status_reg.vhd -XILINX_DEPS += axi_spdif_rx_constr.xdc XILINX_DEPS += axi_spdif_rx_ip.tcl include ../scripts/library.mk diff --git a/library/axi_spdif_rx/axi_spdif_rx_constr.xdc b/library/axi_spdif_rx/axi_spdif_rx_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/axi_spdif_rx/axi_spdif_rx_ip.tcl b/library/axi_spdif_rx/axi_spdif_rx_ip.tcl index 75fddd5c7..dc4faef4b 100644 --- a/library/axi_spdif_rx/axi_spdif_rx_ip.tcl +++ b/library/axi_spdif_rx/axi_spdif_rx_ip.tcl @@ -13,8 +13,7 @@ adi_ip_files axi_spdif_rx [list \ "rx_package.vhd" \ "rx_decode.vhd" \ "rx_status_reg.vhd" \ - "axi_spdif_rx.vhd" \ - "axi_spdif_rx_constr.xdc"] + "axi_spdif_rx.vhd" ] adi_ip_properties axi_spdif_rx adi_ip_infer_streaming_interfaces axi_spdif_rx diff --git a/library/util_bsplit/Makefile b/library/util_bsplit/Makefile index 906ec6aa9..2feafbf7d 100644 --- a/library/util_bsplit/Makefile +++ b/library/util_bsplit/Makefile @@ -7,7 +7,6 @@ LIBRARY_NAME := util_bsplit GENERIC_DEPS += util_bsplit.v -XILINX_DEPS += util_bsplit_constr.xdc XILINX_DEPS += util_bsplit_ip.tcl ALTERA_DEPS += util_bsplit_hw.tcl diff --git a/library/util_bsplit/util_bsplit_constr.xdc b/library/util_bsplit/util_bsplit_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/util_bsplit/util_bsplit_ip.tcl b/library/util_bsplit/util_bsplit_ip.tcl index a1956d44e..055516161 100644 --- a/library/util_bsplit/util_bsplit_ip.tcl +++ b/library/util_bsplit/util_bsplit_ip.tcl @@ -5,8 +5,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create util_bsplit adi_ip_files util_bsplit [list \ - "util_bsplit.v" \ - "util_bsplit_constr.xdc" ] + "util_bsplit.v" ] adi_ip_properties_lite util_bsplit diff --git a/library/util_cpack/Makefile b/library/util_cpack/Makefile index 6328915b8..656af3544 100644 --- a/library/util_cpack/Makefile +++ b/library/util_cpack/Makefile @@ -9,7 +9,6 @@ GENERIC_DEPS += util_cpack.v GENERIC_DEPS += util_cpack_dsf.v GENERIC_DEPS += util_cpack_mux.v -XILINX_DEPS += util_cpack_constr.xdc XILINX_DEPS += util_cpack_ip.tcl ALTERA_DEPS += util_cpack_hw.tcl diff --git a/library/util_cpack/util_cpack_constr.xdc b/library/util_cpack/util_cpack_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/util_cpack/util_cpack_ip.tcl b/library/util_cpack/util_cpack_ip.tcl index b9ccc2adf..41cfe1954 100644 --- a/library/util_cpack/util_cpack_ip.tcl +++ b/library/util_cpack/util_cpack_ip.tcl @@ -7,8 +7,7 @@ adi_ip_create util_cpack adi_ip_files util_cpack [list \ "util_cpack_mux.v" \ "util_cpack_dsf.v" \ - "util_cpack.v" \ - "util_cpack_constr.xdc" ] + "util_cpack.v" ] adi_ip_properties_lite util_cpack diff --git a/library/util_upack/Makefile b/library/util_upack/Makefile index 602189343..5fe452665 100644 --- a/library/util_upack/Makefile +++ b/library/util_upack/Makefile @@ -9,7 +9,6 @@ GENERIC_DEPS += util_upack.v GENERIC_DEPS += util_upack_dmx.v GENERIC_DEPS += util_upack_dsf.v -XILINX_DEPS += util_upack_constr.xdc XILINX_DEPS += util_upack_ip.tcl ALTERA_DEPS += util_upack_hw.tcl diff --git a/library/util_upack/util_upack_constr.xdc b/library/util_upack/util_upack_constr.xdc deleted file mode 100644 index e69de29bb..000000000 diff --git a/library/util_upack/util_upack_ip.tcl b/library/util_upack/util_upack_ip.tcl index 831dccfa1..e63c119be 100644 --- a/library/util_upack/util_upack_ip.tcl +++ b/library/util_upack/util_upack_ip.tcl @@ -7,8 +7,7 @@ adi_ip_create util_upack adi_ip_files util_upack [list \ "util_upack_dmx.v" \ "util_upack_dsf.v" \ - "util_upack.v" \ - "util_upack_constr.xdc" ] + "util_upack.v" ] adi_ip_properties_lite util_upack