From 5bd00df33af23c948f5c993a8f8c3062130c7ea3 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Thu, 30 Oct 2014 19:02:16 +0200 Subject: [PATCH] adv7511_zc706: Interrupt update --- projects/adv7511/zc706/system_project.tcl | 3 +- projects/adv7511/zc706/system_top.v | 53 +++++++---------------- 2 files changed, 17 insertions(+), 39 deletions(-) diff --git a/projects/adv7511/zc706/system_project.tcl b/projects/adv7511/zc706/system_project.tcl index 4fa80af81..172c0b3be 100644 --- a/projects/adv7511/zc706/system_project.tcl +++ b/projects/adv7511/zc706/system_project.tcl @@ -6,8 +6,7 @@ adi_project_create adv7511_zc706 adi_project_files adv7511_zc706 [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ - "$ad_hdl_dir/library/common/ad_interrupts.v"] + "$ad_hdl_dir/library/common/ad_iobuf.v"] adi_project_run adv7511_zc706 diff --git a/projects/adv7511/zc706/system_top.v b/projects/adv7511/zc706/system_top.v index 321be14be..a60ae050c 100644 --- a/projects/adv7511/zc706/system_top.v +++ b/projects/adv7511/zc706/system_top.v @@ -119,9 +119,7 @@ module system_top ( wire [14:0] gpio_o; wire [14:0] gpio_t; - wire hdmi_dma_irq; - wire iic_irq; - wire [15:0] ps7_irq_f2p; + wire [15:0] ps_intrs; // instantiations @@ -133,36 +131,6 @@ module system_top ( .do(gpio_i), .dio(gpio_bd)); - ad_interrupts #( - .C_PROC_TYPE(1) - ) i_ad_interrupts ( - .timer_irq(1'b0), - .eth_irq(1'b0), - .eth_dma_mm2s_irq(1'b0), - .eth_dma_s2mm_irq(1'b0), - .uart_irq(1'b0), - .gpio_lcd_irq(1'b0), - .gpio_sw_irq(1'b0), - .spdif_dma_irq(1'b0), - .hdmi_dma_irq(hdmi_dma_irq), - .iic_irq(iic_irq), - .dev0_dma_irq(1'b0), - .dev1_dma_irq(1'b0), - .dev2_dma_irq(1'b0), - .dev3_dma_irq(1'b0), - .dev4_dma_irq(1'b0), - .dev5_dma_irq(1'b0), - .spi0_irq(1'b0), - .spi1_irq(1'b0), - .spi2_irq(1'b0), - .spi3_irq(1'b0), - .gpio0_irq(1'b0), - .gpio1_irq(1'b0), - .gpio2_irq(1'b0), - .gpio3_irq(1'b0), - .mb_axi_intr(), - .ps7_irq_f2p(ps7_irq_f2p)); - system_wrapper i_system_wrapper ( .DDR_addr (DDR_addr), .DDR_ba (DDR_ba), @@ -195,10 +163,21 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .spdif (spdif), - .hdmi_dma_irq (hdmi_dma_irq), - .iic_irq (iic_irq), - .ps7_irq_f2p (ps7_irq_f2p)); + .ps_intr_0 (ps_intrs[0]), + .ps_intr_1 (ps_intrs[1]), + .ps_intr_2 (ps_intrs[2]), + .ps_intr_3 (ps_intrs[3]), + .ps_intr_4 (ps_intrs[4]), + .ps_intr_5 (ps_intrs[5]), + .ps_intr_6 (ps_intrs[6]), + .ps_intr_7 (ps_intrs[7]), + .ps_intr_8 (ps_intrs[8]), + .ps_intr_9 (ps_intrs[9]), + .ps_intr_10 (ps_intrs[10]), + .ps_intr_11 (ps_intrs[11]), + .ps_intr_12 (ps_intrs[12]), + .ps_intr_13 (ps_intrs[13]), + .spdif (spdif)); endmodule