makefile: updated

main
Rejeesh Kutty 2015-04-07 16:32:01 -04:00
parent 922ea7fb34
commit 5f8e9a74ea
129 changed files with 1934 additions and 1333 deletions

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,94 +11,100 @@ all: lib
.PHONY: clean
clean:
make -C library/axi_ad6676 clean
make -C library/axi_ad7175 clean
make -C library/axi_ad9122 clean
make -C library/axi_ad9144 clean
make -C library/axi_ad9152 clean
make -C library/axi_ad9234 clean
make -C library/axi_ad9250 clean
make -C library/axi_ad9265 clean
make -C library/axi_ad9361 clean
make -C library/axi_ad9434 clean
make -C library/axi_ad9467 clean
make -C library/axi_ad9625 clean
make -C library/axi_ad9643 clean
make -C library/axi_ad9652 clean
make -C library/axi_ad9671 clean
make -C library/axi_ad9680 clean
make -C library/axi_ad9739a clean
make -C library/axi_clkgen clean
make -C library/axi_dmac clean
make -C library/util_adcfifo clean
make -C library/axi_adcfifo clean
make -C library/axi_hdmi_rx clean
make -C library/axi_hdmi_tx clean
make -C library/axi_i2s_adi clean
make -C library/axi_jesd_gt clean
make -C library/axi_mc_controller clean
make -C library/axi_mc_current_monitor clean
make -C library/axi_mc_speed clean
make -C library/axi_spdif_tx clean
make -C library/controllerperipheralhdladi_pcore clean
make -C library/util_adc_pack clean
make -C library/util_bsplit clean
make -C library/util_ccat clean
make -C library/util_cpack clean
make -C library/util_dac_unpack clean
make -C library/util_gmii_to_rgmii clean
make -C library/util_i2c_mixer clean
make -C library/util_pmod_adc clean
make -C library/util_pmod_fmeter clean
make -C library/util_rfifo clean
make -C library/util_upack clean
make -C library/util_wfifo clean
make -C axi_jesd_gt clean
make -C axi_ad9265 clean
make -C util_dacfifo clean
make -C util_adcfifo clean
make -C axi_hdmi_rx clean
make -C axi_adcfifo clean
make -C util_pmod_fmeter clean
make -C axi_clkgen clean
make -C util_cpack clean
make -C axi_ad9680 clean
make -C axi_ad9144 clean
make -C axi_ad9122 clean
make -C util_bsplit clean
make -C util_rfifo clean
make -C axi_mc_speed clean
make -C axi_ad9234 clean
make -C axi_ad9250 clean
make -C axi_mc_controller clean
make -C axi_spdif_tx clean
make -C util_gmii_to_rgmii clean
make -C axi_dmac clean
make -C axi_ad7175 clean
make -C axi_ad9652 clean
make -C axi_ad9152 clean
make -C controllerperipheralhdladi_pcore clean
make -C util_dac_unpack clean
make -C axi_mc_current_monitor clean
make -C util_ccat clean
make -C util_pmod_adc clean
make -C axi_ad9643 clean
make -C axi_ad9625 clean
make -C axi_i2s_adi clean
make -C axi_ad9671 clean
make -C util_adc_pack clean
make -C axi_ad9467 clean
make -C axi_ad9361 clean
make -C util_i2c_mixer clean
make -C axi_ad6676 clean
make -C util_upack clean
make -C util_wfifo clean
make -C axi_ad9739a clean
make -C axi_hdmi_tx clean
make -C axi_ad9434 clean
.PHONY: clean-all
clean-all:clean
.PHONY: lib
lib:
-make -C axi_ad6676
-make -C axi_ad7175
-make -C axi_ad9122
-make -C axi_jesd_gt
-make -C axi_ad9265
-make -C util_dacfifo
-make -C util_adcfifo
-make -C axi_hdmi_rx
-make -C axi_adcfifo
-make -C util_pmod_fmeter
-make -C axi_clkgen
-make -C util_cpack
-make -C axi_ad9680
-make -C axi_ad9144
-make -C axi_ad9152
-make -C axi_ad9122
-make -C util_bsplit
-make -C util_rfifo
-make -C axi_mc_speed
-make -C axi_ad9234
-make -C axi_ad9250
-make -C axi_ad9265
-make -C axi_ad9361
-make -C axi_ad9434
-make -C axi_ad9467
-make -C axi_ad9625
-make -C axi_ad9643
-make -C axi_ad9652
-make -C axi_ad9671
-make -C axi_ad9680
-make -C axi_ad9739a
-make -C axi_clkgen
-make -C axi_dmac
-make -C util_adcfifo
-make -C axi_adcfifo
-make -C axi_hdmi_rx
-make -C axi_hdmi_tx
-make -C axi_i2s_adi
-make -C axi_jesd_gt
-make -C axi_mc_controller
-make -C axi_mc_current_monitor
-make -C axi_mc_speed
-make -C axi_spdif_tx
-make -C controllerperipheralhdladi_pcore
-make -C util_adc_pack
-make -C util_bsplit
-make -C util_ccat
-make -C util_cpack
-make -C util_dac_unpack
-make -C util_gmii_to_rgmii
-make -C util_i2c_mixer
-make -C axi_dmac
-make -C axi_ad7175
-make -C axi_ad9652
-make -C axi_ad9152
-make -C controllerperipheralhdladi_pcore
-make -C util_dac_unpack
-make -C axi_mc_current_monitor
-make -C util_ccat
-make -C util_pmod_adc
-make -C util_pmod_fmeter
-make -C util_rfifo
-make -C axi_ad9643
-make -C axi_ad9625
-make -C axi_i2s_adi
-make -C axi_ad9671
-make -C util_adc_pack
-make -C axi_ad9467
-make -C axi_ad9361
-make -C util_i2c_mixer
-make -C axi_ad6676
-make -C util_upack
-make -C util_wfifo
-make -C axi_ad9739a
-make -C axi_hdmi_tx
-make -C axi_ad9434
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -25,9 +24,16 @@ M_DEPS += axi_ad6676_if.v
M_DEPS += axi_ad6676.v
M_DEPS += axi_ad6676_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -40,12 +46,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad6676.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -24,9 +23,16 @@ M_DEPS += axi_ad7175.v
M_DEPS += axi_ad7175_channel.v
M_DEPS += clk_div.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -39,12 +45,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad7175.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad7175_ip.tcl >> axi_ad7175_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad7175_ip.tcl >> axi_ad7175_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -30,9 +29,16 @@ M_DEPS += axi_ad9122_if.v
M_DEPS += axi_ad9122_constr.xdc
M_DEPS += axi_ad9122.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -45,12 +51,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9122.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,9 +26,16 @@ M_DEPS += axi_ad9144_if.v
M_DEPS += axi_ad9144.v
M_DEPS += axi_ad9144_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -42,12 +48,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9144.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,9 +25,16 @@ M_DEPS += axi_ad9152_core.v
M_DEPS += axi_ad9152_if.v
M_DEPS += axi_ad9152.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -41,12 +47,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9152.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,9 +25,16 @@ M_DEPS += axi_ad9234_if.v
M_DEPS += axi_ad9234.v
M_DEPS += axi_ad9234_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -41,12 +47,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9234.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9234_ip.tcl >> axi_ad9234_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9234_ip.tcl >> axi_ad9234_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -25,9 +24,16 @@ M_DEPS += axi_ad9250_channel.v
M_DEPS += axi_ad9250_if.v
M_DEPS += axi_ad9250.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -40,12 +46,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9250.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,9 +27,16 @@ M_DEPS += axi_ad9265_if.v
M_DEPS += axi_ad9265_channel.v
M_DEPS += axi_ad9265.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -43,12 +49,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9265.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -39,9 +38,16 @@ M_DEPS += axi_ad9361_tx_channel.v
M_DEPS += axi_ad9361_tx.v
M_DEPS += axi_ad9361.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -54,12 +60,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9361.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,9 +27,16 @@ M_DEPS += axi_ad9434_pnmon.v
M_DEPS += axi_ad9434_core.v
M_DEPS += axi_ad9434.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -43,12 +49,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9434.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,9 +26,16 @@ M_DEPS += axi_ad9467_if.v
M_DEPS += axi_ad9467_channel.v
M_DEPS += axi_ad9467.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -42,12 +48,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9467.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,9 +26,16 @@ M_DEPS += axi_ad9625_if.v
M_DEPS += axi_ad9625.v
M_DEPS += axi_ad9625_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -42,12 +48,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9625.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -31,9 +30,16 @@ M_DEPS += axi_ad9643_if.v
M_DEPS += axi_ad9643_constr.xdc
M_DEPS += axi_ad9643.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -46,12 +52,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9643.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9643_ip.tcl >> axi_ad9643_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9643_ip.tcl >> axi_ad9643_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -29,9 +28,16 @@ M_DEPS += axi_ad9652_channel.v
M_DEPS += axi_ad9652_if.v
M_DEPS += axi_ad9652.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -44,12 +50,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9652.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9652_ip.tcl >> axi_ad9652_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9652_ip.tcl >> axi_ad9652_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,9 +25,16 @@ M_DEPS += axi_ad9671_channel.v
M_DEPS += axi_ad9671_if.v
M_DEPS += axi_ad9671.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -41,12 +47,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9671.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -26,9 +25,16 @@ M_DEPS += axi_ad9680_if.v
M_DEPS += axi_ad9680.v
M_DEPS += axi_ad9680_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -41,12 +47,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9680.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -28,9 +27,16 @@ M_DEPS += axi_ad9739a_if.v
M_DEPS += axi_ad9739a_constr.xdc
M_DEPS += axi_ad9739a.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -43,12 +49,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_ad9739a.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,11 +2,10 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
M_DEPS := util_adcfifo_axi_ip.tcl
M_DEPS := axi_adcfifo_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_mem.v
@ -20,13 +19,20 @@ M_DEPS += util_adcfifo_axi_rd.v
M_DEPS += util_adcfifo_axi.v
M_DEPS += util_adcfifo_axi_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
all: util_adcfifo_axi.xpr
all: axi_adcfifo.xpr
.PHONY: clean
@ -35,12 +41,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_adcfifo_axi.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_adcfifo_axi_ip.tcl >> util_adcfifo_axi_ip.log 2>&1
axi_adcfifo.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) axi_adcfifo_ip.tcl >> axi_adcfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -16,9 +15,16 @@ M_DEPS += ../common/up_drp_cntrl.v
M_DEPS += ../common/up_clkgen.v
M_DEPS += axi_clkgen.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -31,12 +37,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_clkgen.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,10 +11,10 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/sync_bits.v
M_DEPS += ../common/sync_gray.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../axi_fifo/axi_fifo.v
M_DEPS += ../axi_fifo/address_gray.v
M_DEPS += ../axi_fifo/address_gray_pipelined.v
M_DEPS += ../axi_fifo/address_sync.v
M_DEPS += fifo.v
M_DEPS += address_gray.v
M_DEPS += address_gray_pipelined.v
M_DEPS += address_sync.v
M_DEPS += address_generator.v
M_DEPS += data_mover.v
M_DEPS += request_arb.v
@ -35,9 +34,16 @@ M_DEPS += axi_dmac.v
M_DEPS += axi_repack.v
M_DEPS += axi_dmac_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -50,12 +56,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_dmac.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -24,9 +23,16 @@ M_DEPS += axi_hdmi_rx.v
M_DEPS += axi_hdmi_rx_es.v
M_DEPS += axi_hdmi_rx_core.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -39,12 +45,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_hdmi_rx.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -27,9 +26,16 @@ M_DEPS += axi_hdmi_tx_core.v
M_DEPS += axi_hdmi_tx.v
M_DEPS += axi_hdmi_tx_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -42,12 +48,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_hdmi_tx.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -21,9 +20,16 @@ M_DEPS += i2s_clkgen.vhd
M_DEPS += fifo_synchronizer.vhd
M_DEPS += axi_i2s_adi.vhd
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -36,12 +42,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_i2s_adi.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -22,9 +21,16 @@ M_DEPS += ../common/up_gt.v
M_DEPS += axi_jesd_gt.v
M_DEPS += axi_jesd_gt_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,12 +43,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_jesd_gt.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_jesd_gt_ip.tcl >> axi_jesd_gt_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_jesd_gt_ip.tcl >> axi_jesd_gt_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -25,9 +24,16 @@ M_DEPS += delay.v
M_DEPS += control_registers.v
M_DEPS += axi_mc_controller.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -40,12 +46,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_mc_controller.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -22,9 +21,16 @@ M_DEPS += dec256sinc24b.v
M_DEPS += ad7401.v
M_DEPS += axi_mc_current_monitor.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,12 +43,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_mc_current_monitor.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -23,9 +22,16 @@ M_DEPS += speed_detector.v
M_DEPS += delay_30_degrees.v
M_DEPS += axi_mc_speed.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -38,12 +44,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_mc_speed.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -18,9 +17,16 @@ M_DEPS += tx_encoder.vhd
M_DEPS += axi_spdif_tx.vhd
M_DEPS += axi_spdif_tx_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,12 +39,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
axi_spdif_tx.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -113,9 +112,16 @@ M_DEPS += controllerperipheralhdladi_pcore_addr_decoder.v
M_DEPS += controllerperipheralhdladi_pcore_axi_lite.v
M_DEPS += controllerperipheralhdladi_pcore.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -128,12 +134,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
controllerperipheralhdladi_pcore.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) controllerperipheralhdladi_pcore_ip.tcl >> controllerperipheralhdladi_pcore_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) controllerperipheralhdladi_pcore_ip.tcl >> controllerperipheralhdladi_pcore_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_adc_pack.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -26,12 +32,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_adc_pack.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_adc_pack_ip.tcl >> util_adc_pack_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_adc_pack_ip.tcl >> util_adc_pack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,9 +13,16 @@ M_DEPS += ../common/ad_mem_asym.v
M_DEPS += util_adcfifo.v
M_DEPS += util_adcfifo_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -29,12 +35,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_adcfifo.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_adcfifo_ip.tcl >> util_adcfifo_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_adcfifo_ip.tcl >> util_adcfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_bsplit.v
M_DEPS += util_bsplit_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -27,12 +33,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_bsplit.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_ccat.v
M_DEPS += util_ccat_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -27,12 +33,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_ccat.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,9 +13,16 @@ M_DEPS += util_cpack_dsf.v
M_DEPS += util_cpack.v
M_DEPS += util_cpack_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -29,12 +35,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_cpack.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_dac_unpack.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -26,12 +32,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_dac_unpack.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_dac_unpack_ip.tcl >> util_dac_unpack_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_dac_unpack_ip.tcl >> util_dac_unpack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -0,0 +1,44 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := util_dacfifo_ip.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_mem.v
M_DEPS += util_dacfifo.v
M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
all: util_dacfifo.xpr
.PHONY: clean
clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST)
util_dacfifo.xpr: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) util_dacfifo_ip.tcl >> util_dacfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += mdc_mdio.v
M_DEPS += util_gmii_to_rgmii.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -27,12 +33,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_gmii_to_rgmii.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_i2c_mixer.vhd
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -26,12 +32,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_i2c_mixer.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_pmod_adc.v
M_DEPS +=
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -27,12 +33,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_pmod_adc.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_pmod_adc_ip.tcl >> util_pmod_adc_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_pmod_adc_ip.tcl >> util_pmod_adc_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -16,9 +15,16 @@ M_DEPS += ../common/up_pmod.v
M_DEPS += util_pmod_fmeter.v
M_DEPS += util_pmod_fmeter_core.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -31,12 +37,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_pmod_fmeter.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_pmod_fmeter_ip.tcl >> util_pmod_fmeter_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_pmod_fmeter_ip.tcl >> util_pmod_fmeter_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_rfifo.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -26,12 +32,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_rfifo.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,9 +13,16 @@ M_DEPS += util_upack_dsf.v
M_DEPS += util_upack.v
M_DEPS += util_upack_constr.xdc
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -29,12 +35,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_upack.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += ../common/ad_axis_inf_rx.v
M_DEPS += util_wfifo.v
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -27,12 +33,12 @@ clean:clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
util_wfifo.xpr: $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1
####################################################################################
####################################################################################

View File

@ -2,37 +2,36 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
-make -C fmcomms6 all
-make -C fmcjesdadc1 all
-make -C daq1 all
-make -C fmcomms7 all
-make -C cftl_cip all
-make -C adv7511 all
-make -C fmcadc5 all
-make -C daq3 all
-make -C ad9265_fmc all
-make -C ad9434_fmc all
-make -C cftl_std all
-make -C motcon1_fmc all
-make -C fmcadc4 all
-make -C fmcomms5 all
-make -C imageon all
-make -C usdrx1 all
-make -C ad6676evb all
-make -C ad9467_fmc all
-make -C fmcomms2_pr all
-make -C fmcomms2 all
-make -C fmcomms1 all
-make -C ad9739a_fmc all
-make -C fmcadc2 all
-make -C motcon2_fmc all
-make -C daq2 all
-make -C adv7511 all
-make -C usdrx1 all
-make -C imageon all
-make -C cftl_std all
-make -C cftl_cip all
-make -C fmcomms2_pr all
-make -C daq1 all
-make -C ad9434_fmc all
-make -C ad9467_fmc all
-make -C daq3 all
-make -C fmcomms7 all
-make -C fmcjesdadc1 all
-make -C fmcomms5 all
-make -C fmcadc2 all
-make -C fmcadc4 all
-make -C ad9739a_fmc all
-make -C fmcomms6 all
-make -C ad6676evb all
-make -C motcon1_fmc all
-make -C ad9265_fmc all
-make -C fmcomms2 all
-make -C motcon2_fmc all
-make -C fmcomms1 all
-make -C fmcadc5 all
.PHONY: clean
@ -41,31 +40,31 @@ clean: clean-all
.PHONY: clean-all
clean-all:
make -C fmcomms6 clean-all
make -C fmcjesdadc1 clean-all
make -C daq1 clean-all
make -C fmcomms7 clean-all
make -C cftl_cip clean-all
make -C adv7511 clean-all
make -C fmcadc5 clean-all
make -C daq3 clean-all
make -C ad9265_fmc clean-all
make -C ad9434_fmc clean-all
make -C cftl_std clean-all
make -C motcon1_fmc clean-all
make -C fmcadc4 clean-all
make -C fmcomms5 clean-all
make -C imageon clean-all
make -C usdrx1 clean-all
make -C ad6676evb clean-all
make -C ad9467_fmc clean-all
make -C fmcomms2_pr clean-all
make -C fmcomms2 clean-all
make -C fmcomms1 clean-all
make -C ad9739a_fmc clean-all
make -C fmcadc2 clean-all
make -C motcon2_fmc clean-all
make -C daq2 clean-all
make -C adv7511 clean-all
make -C usdrx1 clean-all
make -C imageon clean-all
make -C cftl_std clean-all
make -C cftl_cip clean-all
make -C fmcomms2_pr clean-all
make -C daq1 clean-all
make -C ad9434_fmc clean-all
make -C ad9467_fmc clean-all
make -C daq3 clean-all
make -C fmcomms7 clean-all
make -C fmcjesdadc1 clean-all
make -C fmcomms5 clean-all
make -C fmcadc2 clean-all
make -C fmcadc4 clean-all
make -C ad9739a_fmc clean-all
make -C fmcomms6 clean-all
make -C ad6676evb clean-all
make -C motcon1_fmc clean-all
make -C ad9265_fmc clean-all
make -C fmcomms2 clean-all
make -C motcon2_fmc clean-all
make -C fmcomms1 clean-all
make -C fmcadc5 clean-all
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,24 +39,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad6676 clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
ad6676evb_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad6676
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -36,28 +42,28 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad6676 clean
make -C ../../../library/axi_hdmi_tx clean
ad6676evb_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad6676
make -C ../../../library/axi_hdmi_tx

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,16 +13,23 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -36,28 +42,28 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9265 clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
ad9265_fmc_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9265
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,26 +40,26 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9434 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_dmac clean
ad9434_fmc_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9434
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,12 +12,19 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -31,20 +37,20 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9467 clean
make -C ../../../library/axi_dmac clean
ad9467_fmc_kc705.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9467
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -36,30 +42,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9467 clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
ad9467_fmc_zed.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9467
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9467
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,26 +40,26 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_ad9739a clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
ad9739a_fmc_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_ad9739a
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,20 +2,19 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
-make -C ac701 all
-make -C kc705 all
-make -C kcu105 all
-make -C mitx045 all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
-make -C mitx045 all
-make -C ac701 all
-make -C kcu105 all
-make -C vc707 all
-make -C zc706 all
-make -C zc702 all
.PHONY: clean
@ -24,14 +23,14 @@ clean: clean-all
.PHONY: clean-all
clean-all:
make -C ac701 clean-all
make -C kc705 clean-all
make -C kcu105 clean-all
make -C mitx045 clean-all
make -C vc707 clean-all
make -C zc702 clean-all
make -C zc706 clean-all
make -C zed clean-all
make -C mitx045 clean-all
make -C ac701 clean-all
make -C kcu105 clean-all
make -C vc707 clean-all
make -C zc706 clean-all
make -C zc702 clean-all
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -17,9 +16,16 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -32,15 +38,15 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_ac701.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1
.PHONY: lib

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,13 +12,20 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -32,22 +38,22 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_kc705.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,13 +13,20 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../common/adv7511_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,22 +39,22 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_kcu105.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,14 +11,21 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -32,24 +38,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_mitx045.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,13 +12,20 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -32,22 +38,22 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,12 +12,19 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -31,22 +37,22 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_clkgen clean
adv7511_zc702.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_clkgen
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,13 +11,20 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -31,22 +37,22 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_spdif_tx clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -12,15 +11,22 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,23 +39,23 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
adv7511_zed.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,18 +12,25 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_cip_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr
M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,32 +43,32 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_pmod_adc clean
make -C ../../../library/util_pmod_fmeter clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_clkgen clean
make -C ../../../library/util_pmod_fmeter clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/util_pmod_adc clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_i2c_mixer clean
cftl_cip_zed.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_dmac
make -C ../../../library/util_pmod_adc
make -C ../../../library/util_pmod_fmeter
make -C ../../../library/axi_clkgen
make -C ../../../library/util_pmod_fmeter
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/util_pmod_adc
make -C ../../../library/axi_dmac
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_std_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,26 +40,26 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
cftl_std_zed.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,18 +12,25 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,32 +43,32 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9250 clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
daq1_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_dmac
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -16,15 +15,22 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,30 +43,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_dmac clean
daq2_kc705.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_ad9144
make -C ../../../library/util_ccat
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -15,17 +14,24 @@ M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -38,30 +44,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
daq2_kcu105.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,30 +43,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
daq2_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9144
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_ad9680
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -40,36 +46,36 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_ad9680 clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_clkgen clean
daq2_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_clkgen
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -40,36 +46,36 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_ad9152 clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_ad9152 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
daq3_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_ad9152
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_ad9152
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -35,26 +41,26 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
rm -rf $(M_FLIST)
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
fmcadc2_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9625
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -38,32 +44,32 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_adcfifo clean
fmcadc2_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9625
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_adcfifo
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9234/axi_ad9234.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -38,32 +44,32 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9234 clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_adcfifo clean
fmcadc4_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9234
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_adcfifo
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -35,26 +41,26 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
fmcadc5_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -2,17 +2,16 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
-make -C a5gt all
-make -C a5soc all
-make -C kc705 all
-make -C vc707 all
-make -C zc706 all
-make -C a5gt all
-make -C a5soc all
.PHONY: clean
@ -21,11 +20,11 @@ clean: clean-all
.PHONY: clean-all
clean-all:
make -C a5gt clean-all
make -C a5soc clean-all
make -C kc705 clean-all
make -C vc707 clean-all
make -C zc706 clean-all
make -C a5gt clean-all
make -C a5soc clean-all
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,24 +39,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
fmcjesdadc1_kc705.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9250
make -C ../../../library/util_bsplit
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -33,24 +39,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/util_bsplit clean
fmcjesdadc1_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9250
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -36,30 +42,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_hdmi_tx clean
fmcjesdadc1_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_dmac
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_hdmi_tx
####################################################################################
####################################################################################

View File

@ -2,18 +2,17 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
-make -C ac701 all
-make -C kc705 all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
-make -C ac701 all
-make -C vc707 all
-make -C zc706 all
-make -C zc702 all
.PHONY: clean
@ -22,12 +21,12 @@ clean: clean-all
.PHONY: clean-all
clean-all:
make -C ac701 clean-all
make -C kc705 clean-all
make -C vc707 clean-all
make -C zc702 clean-all
make -C zc706 clean-all
make -C zed clean-all
make -C ac701 clean-all
make -C vc707 clean-all
make -C zc706 clean-all
make -C zc702 clean-all
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -15,13 +14,20 @@ M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,24 +40,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
fmcomms1_ac701.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,14 +13,21 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,24 +40,24 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
rm -rf $(M_FLIST)
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_kc705.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,14 +13,21 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -34,23 +40,23 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
rm -rf $(M_FLIST)
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_vc707.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_ad9643
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,29 +43,29 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_hdmi_tx clean
fmcomms1_zc702.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
make -C ../../../library/axi_hdmi_tx
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -37,30 +43,30 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/axi_ad9122 clean
rm -rf $(M_FLIST)
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9643 clean
fmcomms1_zc706.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9643
####################################################################################
####################################################################################

View File

@ -2,7 +2,6 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
@ -14,19 +13,26 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
C_VIVADO := vivado -mode batch -source
M_VIVADO := vivado -mode batch -source
F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' `
M_FLIST := `find . -not -name '.'
M_FLIST += -not -name '*.tcl'
M_FLIST += -not -name '*.v'
M_FLIST += -not -name '*.xdc'
M_FLIST += -not -name '*.vhd'
M_FLIST += -not -name '*.prj'
M_FLIST += -not -name 'Makefile'
M_FLIST += -not -name '*.h' `
.PHONY: all
@ -39,34 +45,34 @@ clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(F_LIST)
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
rm -rf $(M_FLIST)
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_spdif_tx clean
fmcomms1_zed.xpr: lib $(M_DEPS)
rm -rf $(F_LIST)
$(C_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1
.PHONY: lib
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -2,21 +2,20 @@
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
## Fri Apr 3 11:55:07 2015
####################################################################################
####################################################################################
.PHONY: all
all:
-make -C ac701 all
-make -C c5soc all
-make -C kc705 all
-make -C zed all
-make -C c5soc all
-make -C mitx045 all
-make -C ac701 all
-make -C rfsom all
-make -C vc707 all
-make -C zc702 all
-make -C zc706 all
-make -C zed all
-make -C zc702 all
.PHONY: clean
@ -25,15 +24,15 @@ clean: clean-all
.PHONY: clean-all
clean-all:
make -C ac701 clean-all
make -C c5soc clean-all
make -C kc705 clean-all
make -C zed clean-all
make -C c5soc clean-all
make -C mitx045 clean-all
make -C ac701 clean-all
make -C rfsom clean-all
make -C vc707 clean-all
make -C zc702 clean-all
make -C zc706 clean-all
make -C zed clean-all
make -C zc702 clean-all
####################################################################################
####################################################################################

Some files were not shown because too many files have changed in this diff Show More