From 5f8e9a74eae469e9ebc123c048506bc98f5c9514 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Tue, 7 Apr 2015 16:32:01 -0400 Subject: [PATCH] makefile: updated --- library/Makefile | 157 +++++++++--------- library/axi_ad6676/Makefile | 18 +- library/axi_ad7175/Makefile | 18 +- library/axi_ad9122/Makefile | 18 +- library/axi_ad9144/Makefile | 18 +- library/axi_ad9152/Makefile | 18 +- library/axi_ad9234/Makefile | 18 +- library/axi_ad9250/Makefile | 18 +- library/axi_ad9265/Makefile | 18 +- library/axi_ad9361/Makefile | 18 +- library/axi_ad9434/Makefile | 18 +- library/axi_ad9467/Makefile | 18 +- library/axi_ad9625/Makefile | 18 +- library/axi_ad9643/Makefile | 18 +- library/axi_ad9652/Makefile | 18 +- library/axi_ad9671/Makefile | 18 +- library/axi_ad9680/Makefile | 18 +- library/axi_ad9739a/Makefile | 18 +- library/axi_adcfifo/Makefile | 24 ++- library/axi_clkgen/Makefile | 18 +- library/axi_dmac/Makefile | 26 +-- library/axi_hdmi_rx/Makefile | 18 +- library/axi_hdmi_tx/Makefile | 18 +- library/axi_i2s_adi/Makefile | 18 +- library/axi_jesd_gt/Makefile | 18 +- library/axi_mc_controller/Makefile | 18 +- library/axi_mc_current_monitor/Makefile | 18 +- library/axi_mc_speed/Makefile | 18 +- library/axi_spdif_tx/Makefile | 18 +- .../controllerperipheralhdladi_pcore/Makefile | 18 +- library/util_adc_pack/Makefile | 18 +- library/util_adcfifo/Makefile | 18 +- library/util_bsplit/Makefile | 18 +- library/util_ccat/Makefile | 18 +- library/util_cpack/Makefile | 18 +- library/util_dac_unpack/Makefile | 18 +- library/util_dacfifo/Makefile | 44 +++++ library/util_gmii_to_rgmii/Makefile | 18 +- library/util_i2c_mixer/Makefile | 18 +- library/util_pmod_adc/Makefile | 18 +- library/util_pmod_fmeter/Makefile | 18 +- library/util_rfifo/Makefile | 18 +- library/util_upack/Makefile | 18 +- library/util_wfifo/Makefile | 18 +- projects/Makefile | 97 ++++++----- projects/ad6676evb/Makefile | 1 - projects/ad6676evb/vc707/Makefile | 30 ++-- projects/ad6676evb/zc706/Makefile | 30 ++-- projects/ad9265_fmc/Makefile | 1 - projects/ad9265_fmc/zc706/Makefile | 30 ++-- projects/ad9434_fmc/Makefile | 1 - projects/ad9434_fmc/zc706/Makefile | 30 ++-- projects/ad9467_fmc/Makefile | 1 - projects/ad9467_fmc/kc705/Makefile | 24 ++- projects/ad9467_fmc/zed/Makefile | 42 +++-- projects/ad9739a_fmc/Makefile | 1 - projects/ad9739a_fmc/zc706/Makefile | 30 ++-- projects/adv7511/Makefile | 25 ++- projects/adv7511/ac701/Makefile | 18 +- projects/adv7511/kc705/Makefile | 24 ++- projects/adv7511/kcu105/Makefile | 24 ++- projects/adv7511/mitx045/Makefile | 24 ++- projects/adv7511/vc707/Makefile | 24 ++- projects/adv7511/zc702/Makefile | 24 ++- projects/adv7511/zc706/Makefile | 24 ++- projects/adv7511/zed/Makefile | 24 ++- projects/cftl_cip/Makefile | 1 - projects/cftl_cip/zed/Makefile | 48 +++--- projects/cftl_std/Makefile | 1 - projects/cftl_std/zed/Makefile | 30 ++-- projects/daq1/Makefile | 1 - projects/daq1/zc706/Makefile | 60 ++++--- projects/daq2/Makefile | 1 - projects/daq2/kc705/Makefile | 36 ++-- projects/daq2/kcu105/Makefile | 36 ++-- projects/daq2/vc707/Makefile | 48 +++--- projects/daq2/zc706/Makefile | 54 +++--- projects/daq3/Makefile | 1 - projects/daq3/zc706/Makefile | 54 +++--- projects/fmcadc2/Makefile | 1 - projects/fmcadc2/vc707/Makefile | 36 ++-- projects/fmcadc2/zc706/Makefile | 48 +++--- projects/fmcadc4/Makefile | 1 - projects/fmcadc4/zc706/Makefile | 42 +++-- projects/fmcadc5/Makefile | 1 - projects/fmcadc5/vc707/Makefile | 30 ++-- projects/fmcjesdadc1/Makefile | 9 +- projects/fmcjesdadc1/a5gt/Makefile | 1 - projects/fmcjesdadc1/a5soc/Makefile | 1 - projects/fmcjesdadc1/kc705/Makefile | 36 ++-- projects/fmcjesdadc1/vc707/Makefile | 30 ++-- projects/fmcjesdadc1/zc706/Makefile | 36 ++-- projects/fmcomms1/Makefile | 17 +- projects/fmcomms1/ac701/Makefile | 30 ++-- projects/fmcomms1/kc705/Makefile | 24 ++- projects/fmcomms1/vc707/Makefile | 24 ++- projects/fmcomms1/zc702/Makefile | 36 ++-- projects/fmcomms1/zc706/Makefile | 42 +++-- projects/fmcomms1/zed/Makefile | 66 ++++---- projects/fmcomms2/Makefile | 17 +- projects/fmcomms2/ac701/Makefile | 36 ++-- projects/fmcomms2/c5soc/Makefile | 1 - projects/fmcomms2/kc705/Makefile | 36 ++-- projects/fmcomms2/mitx045/Makefile | 48 +++--- projects/fmcomms2/rfsom/Makefile | 54 +++--- projects/fmcomms2/vc707/Makefile | 24 ++- projects/fmcomms2/zc702/Makefile | 48 +++--- projects/fmcomms2/zc706/Makefile | 42 +++-- projects/fmcomms2/zed/Makefile | 60 ++++--- projects/fmcomms2_pr/Makefile | 1 - projects/fmcomms2_pr/mitx045/Makefile | 54 +++--- projects/fmcomms2_pr/zc706/Makefile | 42 +++-- projects/fmcomms5/Makefile | 5 +- projects/fmcomms5/zc702/Makefile | 36 ++-- projects/fmcomms5/zc706/Makefile | 36 ++-- projects/fmcomms6/Makefile | 1 - projects/fmcomms6/zc706/Makefile | 30 ++-- projects/fmcomms7/Makefile | 1 - projects/fmcomms7/zc706/Makefile | 60 ++++--- projects/imageon/Makefile | 5 +- projects/imageon/zc706/Makefile | 24 ++- projects/imageon/zed/Makefile | 48 +++--- projects/motcon1_fmc/Makefile | 1 - projects/motcon1_fmc/zed/Makefile | 60 ++++--- projects/motcon2_fmc/Makefile | 1 - projects/motcon2_fmc/zed/Makefile | 66 ++++---- projects/usdrx1/Makefile | 5 +- projects/usdrx1/a5gt/Makefile | 1 - projects/usdrx1/zc706/Makefile | 42 +++-- 129 files changed, 1934 insertions(+), 1333 deletions(-) create mode 100644 library/util_dacfifo/Makefile diff --git a/library/Makefile b/library/Makefile index 8c1ac4319..f2430fad9 100644 --- a/library/Makefile +++ b/library/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,94 +11,100 @@ all: lib .PHONY: clean clean: - make -C library/axi_ad6676 clean - make -C library/axi_ad7175 clean - make -C library/axi_ad9122 clean - make -C library/axi_ad9144 clean - make -C library/axi_ad9152 clean - make -C library/axi_ad9234 clean - make -C library/axi_ad9250 clean - make -C library/axi_ad9265 clean - make -C library/axi_ad9361 clean - make -C library/axi_ad9434 clean - make -C library/axi_ad9467 clean - make -C library/axi_ad9625 clean - make -C library/axi_ad9643 clean - make -C library/axi_ad9652 clean - make -C library/axi_ad9671 clean - make -C library/axi_ad9680 clean - make -C library/axi_ad9739a clean - make -C library/axi_clkgen clean - make -C library/axi_dmac clean - make -C library/util_adcfifo clean - make -C library/axi_adcfifo clean - make -C library/axi_hdmi_rx clean - make -C library/axi_hdmi_tx clean - make -C library/axi_i2s_adi clean - make -C library/axi_jesd_gt clean - make -C library/axi_mc_controller clean - make -C library/axi_mc_current_monitor clean - make -C library/axi_mc_speed clean - make -C library/axi_spdif_tx clean - make -C library/controllerperipheralhdladi_pcore clean - make -C library/util_adc_pack clean - make -C library/util_bsplit clean - make -C library/util_ccat clean - make -C library/util_cpack clean - make -C library/util_dac_unpack clean - make -C library/util_gmii_to_rgmii clean - make -C library/util_i2c_mixer clean - make -C library/util_pmod_adc clean - make -C library/util_pmod_fmeter clean - make -C library/util_rfifo clean - make -C library/util_upack clean - make -C library/util_wfifo clean + make -C axi_jesd_gt clean + make -C axi_ad9265 clean + make -C util_dacfifo clean + make -C util_adcfifo clean + make -C axi_hdmi_rx clean + make -C axi_adcfifo clean + make -C util_pmod_fmeter clean + make -C axi_clkgen clean + make -C util_cpack clean + make -C axi_ad9680 clean + make -C axi_ad9144 clean + make -C axi_ad9122 clean + make -C util_bsplit clean + make -C util_rfifo clean + make -C axi_mc_speed clean + make -C axi_ad9234 clean + make -C axi_ad9250 clean + make -C axi_mc_controller clean + make -C axi_spdif_tx clean + make -C util_gmii_to_rgmii clean + make -C axi_dmac clean + make -C axi_ad7175 clean + make -C axi_ad9652 clean + make -C axi_ad9152 clean + make -C controllerperipheralhdladi_pcore clean + make -C util_dac_unpack clean + make -C axi_mc_current_monitor clean + make -C util_ccat clean + make -C util_pmod_adc clean + make -C axi_ad9643 clean + make -C axi_ad9625 clean + make -C axi_i2s_adi clean + make -C axi_ad9671 clean + make -C util_adc_pack clean + make -C axi_ad9467 clean + make -C axi_ad9361 clean + make -C util_i2c_mixer clean + make -C axi_ad6676 clean + make -C util_upack clean + make -C util_wfifo clean + make -C axi_ad9739a clean + make -C axi_hdmi_tx clean + make -C axi_ad9434 clean + + +.PHONY: clean-all +clean-all:clean .PHONY: lib lib: - -make -C axi_ad6676 - -make -C axi_ad7175 - -make -C axi_ad9122 + -make -C axi_jesd_gt + -make -C axi_ad9265 + -make -C util_dacfifo + -make -C util_adcfifo + -make -C axi_hdmi_rx + -make -C axi_adcfifo + -make -C util_pmod_fmeter + -make -C axi_clkgen + -make -C util_cpack + -make -C axi_ad9680 -make -C axi_ad9144 - -make -C axi_ad9152 + -make -C axi_ad9122 + -make -C util_bsplit + -make -C util_rfifo + -make -C axi_mc_speed -make -C axi_ad9234 -make -C axi_ad9250 - -make -C axi_ad9265 - -make -C axi_ad9361 - -make -C axi_ad9434 - -make -C axi_ad9467 - -make -C axi_ad9625 - -make -C axi_ad9643 - -make -C axi_ad9652 - -make -C axi_ad9671 - -make -C axi_ad9680 - -make -C axi_ad9739a - -make -C axi_clkgen - -make -C axi_dmac - -make -C util_adcfifo - -make -C axi_adcfifo - -make -C axi_hdmi_rx - -make -C axi_hdmi_tx - -make -C axi_i2s_adi - -make -C axi_jesd_gt -make -C axi_mc_controller - -make -C axi_mc_current_monitor - -make -C axi_mc_speed -make -C axi_spdif_tx - -make -C controllerperipheralhdladi_pcore - -make -C util_adc_pack - -make -C util_bsplit - -make -C util_ccat - -make -C util_cpack - -make -C util_dac_unpack -make -C util_gmii_to_rgmii - -make -C util_i2c_mixer + -make -C axi_dmac + -make -C axi_ad7175 + -make -C axi_ad9652 + -make -C axi_ad9152 + -make -C controllerperipheralhdladi_pcore + -make -C util_dac_unpack + -make -C axi_mc_current_monitor + -make -C util_ccat -make -C util_pmod_adc - -make -C util_pmod_fmeter - -make -C util_rfifo + -make -C axi_ad9643 + -make -C axi_ad9625 + -make -C axi_i2s_adi + -make -C axi_ad9671 + -make -C util_adc_pack + -make -C axi_ad9467 + -make -C axi_ad9361 + -make -C util_i2c_mixer + -make -C axi_ad6676 -make -C util_upack -make -C util_wfifo + -make -C axi_ad9739a + -make -C axi_hdmi_tx + -make -C axi_ad9434 #################################################################################### #################################################################################### diff --git a/library/axi_ad6676/Makefile b/library/axi_ad6676/Makefile index acf0779d1..fd0091b3a 100644 --- a/library/axi_ad6676/Makefile +++ b/library/axi_ad6676/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -25,9 +24,16 @@ M_DEPS += axi_ad6676_if.v M_DEPS += axi_ad6676.v M_DEPS += axi_ad6676_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,12 +46,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad6676.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad6676_ip.tcl >> axi_ad6676_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad7175/Makefile b/library/axi_ad7175/Makefile index 8689b5ceb..aae7f901c 100644 --- a/library/axi_ad7175/Makefile +++ b/library/axi_ad7175/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -24,9 +23,16 @@ M_DEPS += axi_ad7175.v M_DEPS += axi_ad7175_channel.v M_DEPS += clk_div.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,12 +45,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad7175.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad7175_ip.tcl >> axi_ad7175_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad7175_ip.tcl >> axi_ad7175_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9122/Makefile b/library/axi_ad9122/Makefile index 3c62ee05b..e77df4c10 100644 --- a/library/axi_ad9122/Makefile +++ b/library/axi_ad9122/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -30,9 +29,16 @@ M_DEPS += axi_ad9122_if.v M_DEPS += axi_ad9122_constr.xdc M_DEPS += axi_ad9122.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -45,12 +51,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9122.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9122_ip.tcl >> axi_ad9122_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9144/Makefile b/library/axi_ad9144/Makefile index 009ee411f..7b8b02b0f 100644 --- a/library/axi_ad9144/Makefile +++ b/library/axi_ad9144/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -27,9 +26,16 @@ M_DEPS += axi_ad9144_if.v M_DEPS += axi_ad9144.v M_DEPS += axi_ad9144_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -42,12 +48,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9144.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9144_ip.tcl >> axi_ad9144_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9152/Makefile b/library/axi_ad9152/Makefile index af58cb519..9eb3124c2 100644 --- a/library/axi_ad9152/Makefile +++ b/library/axi_ad9152/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -26,9 +25,16 @@ M_DEPS += axi_ad9152_core.v M_DEPS += axi_ad9152_if.v M_DEPS += axi_ad9152.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -41,12 +47,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9152.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9152_ip.tcl >> axi_ad9152_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9234/Makefile b/library/axi_ad9234/Makefile index bd878a151..2a120344f 100644 --- a/library/axi_ad9234/Makefile +++ b/library/axi_ad9234/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -26,9 +25,16 @@ M_DEPS += axi_ad9234_if.v M_DEPS += axi_ad9234.v M_DEPS += axi_ad9234_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -41,12 +47,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9234.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9234_ip.tcl >> axi_ad9234_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9234_ip.tcl >> axi_ad9234_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9250/Makefile b/library/axi_ad9250/Makefile index e4a855c07..27365503c 100644 --- a/library/axi_ad9250/Makefile +++ b/library/axi_ad9250/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -25,9 +24,16 @@ M_DEPS += axi_ad9250_channel.v M_DEPS += axi_ad9250_if.v M_DEPS += axi_ad9250.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,12 +46,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9250.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9250_ip.tcl >> axi_ad9250_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9265/Makefile b/library/axi_ad9265/Makefile index edff3771a..a4c544a03 100644 --- a/library/axi_ad9265/Makefile +++ b/library/axi_ad9265/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -28,9 +27,16 @@ M_DEPS += axi_ad9265_if.v M_DEPS += axi_ad9265_channel.v M_DEPS += axi_ad9265.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -43,12 +49,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9265.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9265_ip.tcl >> axi_ad9265_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9361/Makefile b/library/axi_ad9361/Makefile index 09bed0130..d8c1ddf8b 100644 --- a/library/axi_ad9361/Makefile +++ b/library/axi_ad9361/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -39,9 +38,16 @@ M_DEPS += axi_ad9361_tx_channel.v M_DEPS += axi_ad9361_tx.v M_DEPS += axi_ad9361.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -54,12 +60,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9361.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9361_ip.tcl >> axi_ad9361_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9434/Makefile b/library/axi_ad9434/Makefile index edea5ac00..ecfede677 100644 --- a/library/axi_ad9434/Makefile +++ b/library/axi_ad9434/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -28,9 +27,16 @@ M_DEPS += axi_ad9434_pnmon.v M_DEPS += axi_ad9434_core.v M_DEPS += axi_ad9434.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -43,12 +49,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9434.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9434_ip.tcl >> axi_ad9434_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9467/Makefile b/library/axi_ad9467/Makefile index 7776b3ead..c4313c0eb 100644 --- a/library/axi_ad9467/Makefile +++ b/library/axi_ad9467/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -27,9 +26,16 @@ M_DEPS += axi_ad9467_if.v M_DEPS += axi_ad9467_channel.v M_DEPS += axi_ad9467.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -42,12 +48,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9467.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9467_ip.tcl >> axi_ad9467_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9625/Makefile b/library/axi_ad9625/Makefile index b2ecffca2..9309eea1a 100644 --- a/library/axi_ad9625/Makefile +++ b/library/axi_ad9625/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -27,9 +26,16 @@ M_DEPS += axi_ad9625_if.v M_DEPS += axi_ad9625.v M_DEPS += axi_ad9625_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -42,12 +48,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9625.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9625_ip.tcl >> axi_ad9625_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9643/Makefile b/library/axi_ad9643/Makefile index 1e985b77f..a1ca0e3ab 100644 --- a/library/axi_ad9643/Makefile +++ b/library/axi_ad9643/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -31,9 +30,16 @@ M_DEPS += axi_ad9643_if.v M_DEPS += axi_ad9643_constr.xdc M_DEPS += axi_ad9643.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -46,12 +52,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9643.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9643_ip.tcl >> axi_ad9643_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9643_ip.tcl >> axi_ad9643_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9652/Makefile b/library/axi_ad9652/Makefile index 48d5c3935..0424b829a 100644 --- a/library/axi_ad9652/Makefile +++ b/library/axi_ad9652/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -29,9 +28,16 @@ M_DEPS += axi_ad9652_channel.v M_DEPS += axi_ad9652_if.v M_DEPS += axi_ad9652.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -44,12 +50,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9652.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9652_ip.tcl >> axi_ad9652_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9652_ip.tcl >> axi_ad9652_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9671/Makefile b/library/axi_ad9671/Makefile index 9eeec8939..e022949ed 100644 --- a/library/axi_ad9671/Makefile +++ b/library/axi_ad9671/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -26,9 +25,16 @@ M_DEPS += axi_ad9671_channel.v M_DEPS += axi_ad9671_if.v M_DEPS += axi_ad9671.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -41,12 +47,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9671.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9671_ip.tcl >> axi_ad9671_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9680/Makefile b/library/axi_ad9680/Makefile index 14566de56..2904cf6f1 100644 --- a/library/axi_ad9680/Makefile +++ b/library/axi_ad9680/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -26,9 +25,16 @@ M_DEPS += axi_ad9680_if.v M_DEPS += axi_ad9680.v M_DEPS += axi_ad9680_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -41,12 +47,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9680.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9680_ip.tcl >> axi_ad9680_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_ad9739a/Makefile b/library/axi_ad9739a/Makefile index a23fa3212..28a219f51 100644 --- a/library/axi_ad9739a/Makefile +++ b/library/axi_ad9739a/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -28,9 +27,16 @@ M_DEPS += axi_ad9739a_if.v M_DEPS += axi_ad9739a_constr.xdc M_DEPS += axi_ad9739a.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -43,12 +49,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_ad9739a.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9739a_ip.tcl >> axi_ad9739a_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_adcfifo/Makefile b/library/axi_adcfifo/Makefile index 151f32bf2..534fb3dd9 100644 --- a/library/axi_adcfifo/Makefile +++ b/library/axi_adcfifo/Makefile @@ -2,11 +2,10 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### -M_DEPS := util_adcfifo_axi_ip.tcl +M_DEPS := axi_adcfifo_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_mem.v @@ -20,13 +19,20 @@ M_DEPS += util_adcfifo_axi_rd.v M_DEPS += util_adcfifo_axi.v M_DEPS += util_adcfifo_axi_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all -all: util_adcfifo_axi.xpr +all: axi_adcfifo.xpr .PHONY: clean @@ -35,12 +41,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) -util_adcfifo_axi.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_adcfifo_axi_ip.tcl >> util_adcfifo_axi_ip.log 2>&1 +axi_adcfifo.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) axi_adcfifo_ip.tcl >> axi_adcfifo_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_clkgen/Makefile b/library/axi_clkgen/Makefile index e3a4b123a..b80f21ef4 100644 --- a/library/axi_clkgen/Makefile +++ b/library/axi_clkgen/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -16,9 +15,16 @@ M_DEPS += ../common/up_drp_cntrl.v M_DEPS += ../common/up_clkgen.v M_DEPS += axi_clkgen.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -31,12 +37,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_clkgen.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_dmac/Makefile b/library/axi_dmac/Makefile index 987f1cbfc..59d947378 100644 --- a/library/axi_dmac/Makefile +++ b/library/axi_dmac/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,10 +11,10 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/sync_bits.v M_DEPS += ../common/sync_gray.v M_DEPS += ../common/up_axi.v -M_DEPS += ../axi_fifo/axi_fifo.v -M_DEPS += ../axi_fifo/address_gray.v -M_DEPS += ../axi_fifo/address_gray_pipelined.v -M_DEPS += ../axi_fifo/address_sync.v +M_DEPS += fifo.v +M_DEPS += address_gray.v +M_DEPS += address_gray_pipelined.v +M_DEPS += address_sync.v M_DEPS += address_generator.v M_DEPS += data_mover.v M_DEPS += request_arb.v @@ -35,9 +34,16 @@ M_DEPS += axi_dmac.v M_DEPS += axi_repack.v M_DEPS += axi_dmac_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -50,12 +56,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_dmac.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_dmac_ip.tcl >> axi_dmac_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_hdmi_rx/Makefile b/library/axi_hdmi_rx/Makefile index 84014e066..c13069ae8 100644 --- a/library/axi_hdmi_rx/Makefile +++ b/library/axi_hdmi_rx/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -24,9 +23,16 @@ M_DEPS += axi_hdmi_rx.v M_DEPS += axi_hdmi_rx_es.v M_DEPS += axi_hdmi_rx_core.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,12 +45,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_hdmi_rx.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_hdmi_rx_ip.tcl >> axi_hdmi_rx_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_hdmi_tx/Makefile b/library/axi_hdmi_tx/Makefile index fbdead66f..9e30d2dbf 100644 --- a/library/axi_hdmi_tx/Makefile +++ b/library/axi_hdmi_tx/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -27,9 +26,16 @@ M_DEPS += axi_hdmi_tx_core.v M_DEPS += axi_hdmi_tx.v M_DEPS += axi_hdmi_tx_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -42,12 +48,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_hdmi_tx.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_i2s_adi/Makefile b/library/axi_i2s_adi/Makefile index 0d3e1dd8d..6add6c45e 100644 --- a/library/axi_i2s_adi/Makefile +++ b/library/axi_i2s_adi/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -21,9 +20,16 @@ M_DEPS += i2s_clkgen.vhd M_DEPS += fifo_synchronizer.vhd M_DEPS += axi_i2s_adi.vhd -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,12 +42,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_i2s_adi.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_i2s_adi_ip.tcl >> axi_i2s_adi_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_jesd_gt/Makefile b/library/axi_jesd_gt/Makefile index 3fc3cfd20..57d0e08d6 100644 --- a/library/axi_jesd_gt/Makefile +++ b/library/axi_jesd_gt/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -22,9 +21,16 @@ M_DEPS += ../common/up_gt.v M_DEPS += axi_jesd_gt.v M_DEPS += axi_jesd_gt_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,12 +43,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_jesd_gt.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_jesd_gt_ip.tcl >> axi_jesd_gt_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_jesd_gt_ip.tcl >> axi_jesd_gt_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_mc_controller/Makefile b/library/axi_mc_controller/Makefile index eb9919e3f..6290cd88f 100644 --- a/library/axi_mc_controller/Makefile +++ b/library/axi_mc_controller/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -25,9 +24,16 @@ M_DEPS += delay.v M_DEPS += control_registers.v M_DEPS += axi_mc_controller.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,12 +46,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_mc_controller.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_mc_controller_ip.tcl >> axi_mc_controller_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_mc_current_monitor/Makefile b/library/axi_mc_current_monitor/Makefile index 39b8c30ca..e8b0a66ae 100644 --- a/library/axi_mc_current_monitor/Makefile +++ b/library/axi_mc_current_monitor/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -22,9 +21,16 @@ M_DEPS += dec256sinc24b.v M_DEPS += ad7401.v M_DEPS += axi_mc_current_monitor.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,12 +43,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_mc_current_monitor.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_mc_current_monitor_ip.tcl >> axi_mc_current_monitor_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_mc_speed/Makefile b/library/axi_mc_speed/Makefile index 6492634a1..2282582f9 100644 --- a/library/axi_mc_speed/Makefile +++ b/library/axi_mc_speed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -23,9 +22,16 @@ M_DEPS += speed_detector.v M_DEPS += delay_30_degrees.v M_DEPS += axi_mc_speed.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,12 +44,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_mc_speed.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_mc_speed_ip.tcl >> axi_mc_speed_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/axi_spdif_tx/Makefile b/library/axi_spdif_tx/Makefile index 27b5634ac..d1584bf86 100644 --- a/library/axi_spdif_tx/Makefile +++ b/library/axi_spdif_tx/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -18,9 +17,16 @@ M_DEPS += tx_encoder.vhd M_DEPS += axi_spdif_tx.vhd M_DEPS += axi_spdif_tx_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,12 +39,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) axi_spdif_tx.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/controllerperipheralhdladi_pcore/Makefile b/library/controllerperipheralhdladi_pcore/Makefile index 6bf1a30b3..8c1848b7d 100644 --- a/library/controllerperipheralhdladi_pcore/Makefile +++ b/library/controllerperipheralhdladi_pcore/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -113,9 +112,16 @@ M_DEPS += controllerperipheralhdladi_pcore_addr_decoder.v M_DEPS += controllerperipheralhdladi_pcore_axi_lite.v M_DEPS += controllerperipheralhdladi_pcore.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -128,12 +134,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) controllerperipheralhdladi_pcore.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) controllerperipheralhdladi_pcore_ip.tcl >> controllerperipheralhdladi_pcore_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) controllerperipheralhdladi_pcore_ip.tcl >> controllerperipheralhdladi_pcore_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_adc_pack/Makefile b/library/util_adc_pack/Makefile index 46b4b881e..877ca94a7 100644 --- a/library/util_adc_pack/Makefile +++ b/library/util_adc_pack/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_adc_pack.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -26,12 +32,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_adc_pack.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_adc_pack_ip.tcl >> util_adc_pack_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_adc_pack_ip.tcl >> util_adc_pack_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_adcfifo/Makefile b/library/util_adcfifo/Makefile index 3536ee196..09925ee65 100644 --- a/library/util_adcfifo/Makefile +++ b/library/util_adcfifo/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,9 +13,16 @@ M_DEPS += ../common/ad_mem_asym.v M_DEPS += util_adcfifo.v M_DEPS += util_adcfifo_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -29,12 +35,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_adcfifo.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_adcfifo_ip.tcl >> util_adcfifo_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_adcfifo_ip.tcl >> util_adcfifo_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_bsplit/Makefile b/library/util_bsplit/Makefile index a3c264380..1b0986463 100644 --- a/library/util_bsplit/Makefile +++ b/library/util_bsplit/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_bsplit.v M_DEPS += util_bsplit_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -27,12 +33,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_bsplit.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_bsplit_ip.tcl >> util_bsplit_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_ccat/Makefile b/library/util_ccat/Makefile index b7de86d62..e82fe81c4 100644 --- a/library/util_ccat/Makefile +++ b/library/util_ccat/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_ccat.v M_DEPS += util_ccat_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -27,12 +33,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_ccat.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_ccat_ip.tcl >> util_ccat_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_cpack/Makefile b/library/util_cpack/Makefile index 38904faa4..173fb6a6f 100644 --- a/library/util_cpack/Makefile +++ b/library/util_cpack/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,9 +13,16 @@ M_DEPS += util_cpack_dsf.v M_DEPS += util_cpack.v M_DEPS += util_cpack_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -29,12 +35,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_cpack.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_cpack_ip.tcl >> util_cpack_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_dac_unpack/Makefile b/library/util_dac_unpack/Makefile index 941011d7c..680e441ac 100644 --- a/library/util_dac_unpack/Makefile +++ b/library/util_dac_unpack/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_dac_unpack.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -26,12 +32,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_dac_unpack.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_dac_unpack_ip.tcl >> util_dac_unpack_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_dac_unpack_ip.tcl >> util_dac_unpack_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_dacfifo/Makefile b/library/util_dacfifo/Makefile new file mode 100644 index 000000000..32c2f5133 --- /dev/null +++ b/library/util_dacfifo/Makefile @@ -0,0 +1,44 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := util_dacfifo_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_mem.v +M_DEPS += util_dacfifo.v + +M_VIVADO := vivado -mode batch -source + +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` + + +.PHONY: all +all: util_dacfifo.xpr + + +.PHONY: clean +clean:clean-all + + +.PHONY: clean-all +clean-all: + rm -rf $(M_FLIST) + + +util_dacfifo.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) util_dacfifo_ip.tcl >> util_dacfifo_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_gmii_to_rgmii/Makefile b/library/util_gmii_to_rgmii/Makefile index 02eed4fa0..e05656281 100644 --- a/library/util_gmii_to_rgmii/Makefile +++ b/library/util_gmii_to_rgmii/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += mdc_mdio.v M_DEPS += util_gmii_to_rgmii.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -27,12 +33,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_gmii_to_rgmii.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_gmii_to_rgmii_ip.tcl >> util_gmii_to_rgmii_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_i2c_mixer/Makefile b/library/util_i2c_mixer/Makefile index a3486aedb..9487afed9 100644 --- a/library/util_i2c_mixer/Makefile +++ b/library/util_i2c_mixer/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_i2c_mixer.vhd -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -26,12 +32,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_i2c_mixer.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_i2c_mixer_ip.tcl >> util_i2c_mixer_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_pmod_adc/Makefile b/library/util_pmod_adc/Makefile index e79ce5638..e13f3de43 100644 --- a/library/util_pmod_adc/Makefile +++ b/library/util_pmod_adc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_pmod_adc.v M_DEPS += -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -27,12 +33,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_pmod_adc.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_pmod_adc_ip.tcl >> util_pmod_adc_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_pmod_adc_ip.tcl >> util_pmod_adc_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_pmod_fmeter/Makefile b/library/util_pmod_fmeter/Makefile index 4af09ebf0..4cb29e809 100644 --- a/library/util_pmod_fmeter/Makefile +++ b/library/util_pmod_fmeter/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -16,9 +15,16 @@ M_DEPS += ../common/up_pmod.v M_DEPS += util_pmod_fmeter.v M_DEPS += util_pmod_fmeter_core.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -31,12 +37,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_pmod_fmeter.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_pmod_fmeter_ip.tcl >> util_pmod_fmeter_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_pmod_fmeter_ip.tcl >> util_pmod_fmeter_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_rfifo/Makefile b/library/util_rfifo/Makefile index b98474b2a..300c1275d 100644 --- a/library/util_rfifo/Makefile +++ b/library/util_rfifo/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -11,9 +10,16 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += util_rfifo.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -26,12 +32,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_rfifo.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_rfifo_ip.tcl >> util_rfifo_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_upack/Makefile b/library/util_upack/Makefile index c1a00a92e..97dc25d6b 100644 --- a/library/util_upack/Makefile +++ b/library/util_upack/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,9 +13,16 @@ M_DEPS += util_upack_dsf.v M_DEPS += util_upack.v M_DEPS += util_upack_constr.xdc -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -29,12 +35,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_upack.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_upack_ip.tcl >> util_upack_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/library/util_wfifo/Makefile b/library/util_wfifo/Makefile index 227e4a9bb..4dac9f905 100644 --- a/library/util_wfifo/Makefile +++ b/library/util_wfifo/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,9 +11,16 @@ M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axis_inf_rx.v M_DEPS += util_wfifo.v -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -27,12 +33,12 @@ clean:clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) util_wfifo.xpr: $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) util_wfifo_ip.tcl >> util_wfifo_ip.log 2>&1 #################################################################################### #################################################################################### diff --git a/projects/Makefile b/projects/Makefile index cf69f3ec7..b26a48b3f 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -2,37 +2,36 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C fmcomms6 all - -make -C fmcjesdadc1 all - -make -C daq1 all - -make -C fmcomms7 all - -make -C cftl_cip all - -make -C adv7511 all - -make -C fmcadc5 all - -make -C daq3 all - -make -C ad9265_fmc all - -make -C ad9434_fmc all - -make -C cftl_std all - -make -C motcon1_fmc all - -make -C fmcadc4 all - -make -C fmcomms5 all - -make -C imageon all - -make -C usdrx1 all - -make -C ad6676evb all - -make -C ad9467_fmc all - -make -C fmcomms2_pr all - -make -C fmcomms2 all - -make -C fmcomms1 all - -make -C ad9739a_fmc all - -make -C fmcadc2 all - -make -C motcon2_fmc all -make -C daq2 all + -make -C adv7511 all + -make -C usdrx1 all + -make -C imageon all + -make -C cftl_std all + -make -C cftl_cip all + -make -C fmcomms2_pr all + -make -C daq1 all + -make -C ad9434_fmc all + -make -C ad9467_fmc all + -make -C daq3 all + -make -C fmcomms7 all + -make -C fmcjesdadc1 all + -make -C fmcomms5 all + -make -C fmcadc2 all + -make -C fmcadc4 all + -make -C ad9739a_fmc all + -make -C fmcomms6 all + -make -C ad6676evb all + -make -C motcon1_fmc all + -make -C ad9265_fmc all + -make -C fmcomms2 all + -make -C motcon2_fmc all + -make -C fmcomms1 all + -make -C fmcadc5 all .PHONY: clean @@ -41,31 +40,31 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C fmcomms6 clean-all - make -C fmcjesdadc1 clean-all - make -C daq1 clean-all - make -C fmcomms7 clean-all - make -C cftl_cip clean-all - make -C adv7511 clean-all - make -C fmcadc5 clean-all - make -C daq3 clean-all - make -C ad9265_fmc clean-all - make -C ad9434_fmc clean-all - make -C cftl_std clean-all - make -C motcon1_fmc clean-all - make -C fmcadc4 clean-all - make -C fmcomms5 clean-all - make -C imageon clean-all - make -C usdrx1 clean-all - make -C ad6676evb clean-all - make -C ad9467_fmc clean-all - make -C fmcomms2_pr clean-all - make -C fmcomms2 clean-all - make -C fmcomms1 clean-all - make -C ad9739a_fmc clean-all - make -C fmcadc2 clean-all - make -C motcon2_fmc clean-all make -C daq2 clean-all + make -C adv7511 clean-all + make -C usdrx1 clean-all + make -C imageon clean-all + make -C cftl_std clean-all + make -C cftl_cip clean-all + make -C fmcomms2_pr clean-all + make -C daq1 clean-all + make -C ad9434_fmc clean-all + make -C ad9467_fmc clean-all + make -C daq3 clean-all + make -C fmcomms7 clean-all + make -C fmcjesdadc1 clean-all + make -C fmcomms5 clean-all + make -C fmcadc2 clean-all + make -C fmcadc4 clean-all + make -C ad9739a_fmc clean-all + make -C fmcomms6 clean-all + make -C ad6676evb clean-all + make -C motcon1_fmc clean-all + make -C ad9265_fmc clean-all + make -C fmcomms2 clean-all + make -C motcon2_fmc clean-all + make -C fmcomms1 clean-all + make -C fmcadc5 clean-all #################################################################################### #################################################################################### diff --git a/projects/ad6676evb/Makefile b/projects/ad6676evb/Makefile index d707b56a3..15ad7f2c8 100644 --- a/projects/ad6676evb/Makefile +++ b/projects/ad6676evb/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index c2c835255..7d1f45382 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,24 +39,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean + rm -rf $(M_FLIST) make -C ../../../library/axi_dmac clean - make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad6676 clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/util_bsplit clean ad6676evb_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_dmac - make -C ../../../library/util_bsplit make -C ../../../library/axi_ad6676 + make -C ../../../library/axi_jesd_gt + make -C ../../../library/util_bsplit #################################################################################### #################################################################################### diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index bdb1f124d..4c4fbf448 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/ad6676evb_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,28 +42,28 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad6676 clean make -C ../../../library/axi_hdmi_tx clean ad6676evb_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_clkgen + make -C ../../../library/axi_dmac make -C ../../../library/axi_ad6676 make -C ../../../library/axi_hdmi_tx diff --git a/projects/ad9265_fmc/Makefile b/projects/ad9265_fmc/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/ad9265_fmc/Makefile +++ b/projects/ad9265_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index fe1a50e61..781250bd7 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,16 +13,23 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/ad9265_bd.tcl +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,28 +42,28 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_ad9265 clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean ad9265_fmc_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_hdmi_tx make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen make -C ../../../library/axi_ad9265 - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/ad9434_fmc/Makefile b/projects/ad9434_fmc/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/ad9434_fmc/Makefile +++ b/projects/ad9434_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index 8db065663..619f39d9c 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/ad9434_bd.tcl -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,26 +40,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) + make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_ad9434 clean make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean ad9434_fmc_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_dmac + make -C ../../../library/axi_clkgen make -C ../../../library/axi_ad9434 make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/ad9467_fmc/Makefile b/projects/ad9467_fmc/Makefile index a2ebbe4c6..1a6f648ce 100644 --- a/projects/ad9467_fmc/Makefile +++ b/projects/ad9467_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 13cbfbfda..b76a2f2d5 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,12 +12,19 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/ad9467_bd.tcl -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -31,20 +37,20 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_ad9467 clean + make -C ../../../library/axi_dmac clean ad9467_fmc_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9467 + make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 42df42a59..b8617ca9b 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/ad9467_bd.tcl +M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_ad9467 clean + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_i2s_adi clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_ad9467 clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean ad9467_fmc_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_ad9467 + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_i2s_adi make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_dmac - make -C ../../../library/axi_ad9467 - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/ad9739a_fmc/Makefile b/projects/ad9739a_fmc/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/ad9739a_fmc/Makefile +++ b/projects/ad9739a_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 22712f5b0..b143b873f 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/ad9739a_fmc_bd.tcl +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,26 +40,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_ad9739a clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean ad9739a_fmc_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_ad9739a make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/Makefile b/projects/adv7511/Makefile index b173d6376..56d974b04 100644 --- a/projects/adv7511/Makefile +++ b/projects/adv7511/Makefile @@ -2,20 +2,19 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C ac701 all -make -C kc705 all - -make -C kcu105 all - -make -C mitx045 all - -make -C vc707 all - -make -C zc702 all - -make -C zc706 all -make -C zed all + -make -C mitx045 all + -make -C ac701 all + -make -C kcu105 all + -make -C vc707 all + -make -C zc706 all + -make -C zc702 all .PHONY: clean @@ -24,14 +23,14 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C ac701 clean-all make -C kc705 clean-all - make -C kcu105 clean-all - make -C mitx045 clean-all - make -C vc707 clean-all - make -C zc702 clean-all - make -C zc706 clean-all make -C zed clean-all + make -C mitx045 clean-all + make -C ac701 clean-all + make -C kcu105 clean-all + make -C vc707 clean-all + make -C zc706 clean-all + make -C zc702 clean-all #################################################################################### #################################################################################### diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index 2d71660f8..61960228e 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -17,9 +16,16 @@ M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -32,15 +38,15 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean adv7511_ac701.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 .PHONY: lib diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index 6b81a2649..171a53d14 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,13 +12,20 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -32,22 +38,22 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean adv7511_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index f346e3077..8913387b0 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,13 +13,20 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../common/adv7511_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,22 +39,22 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean adv7511_kcu105.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index 2f0057512..3b968560d 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,14 +11,21 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -32,24 +38,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean adv7511_mitx045.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index ec1ecab8e..7d6d1c7cc 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,13 +12,20 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -32,22 +38,22 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean adv7511_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 448cdcdfa..ef62bedb2 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,12 +12,19 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -31,22 +37,22 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_clkgen clean adv7511_zc702.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_clkgen #################################################################################### #################################################################################### diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index 6a56fcafd..974008022 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,13 +11,20 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -31,22 +37,22 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean adv7511_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index cf0cb65c9..1070a160c 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,15 +11,22 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,23 +39,23 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean adv7511_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx diff --git a/projects/cftl_cip/Makefile b/projects/cftl_cip/Makefile index c02c05319..a37bcfbfc 100644 --- a/projects/cftl_cip/Makefile +++ b/projects/cftl_cip/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 960102b39..8e91d6a5e 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,18 +12,25 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/cftl_cip_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr -M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,32 +43,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/util_pmod_adc clean - make -C ../../../library/util_pmod_fmeter clean + rm -rf $(M_FLIST) make -C ../../../library/axi_clkgen clean + make -C ../../../library/util_pmod_fmeter clean + make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/util_pmod_adc clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_i2c_mixer clean cftl_cip_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_dmac - make -C ../../../library/util_pmod_adc - make -C ../../../library/util_pmod_fmeter make -C ../../../library/axi_clkgen + make -C ../../../library/util_pmod_fmeter + make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_hdmi_tx + make -C ../../../library/util_pmod_adc + make -C ../../../library/axi_dmac + make -C ../../../library/util_i2c_mixer #################################################################################### #################################################################################### diff --git a/projects/cftl_std/Makefile b/projects/cftl_std/Makefile index c02c05319..a37bcfbfc 100644 --- a/projects/cftl_std/Makefile +++ b/projects/cftl_std/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index 67f3b723f..b344876fa 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/cftl_std_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,26 +40,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_i2s_adi clean cftl_std_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen + make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_i2s_adi #################################################################################### #################################################################################### diff --git a/projects/daq1/Makefile b/projects/daq1/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/daq1/Makefile +++ b/projects/daq1/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index 663c9dce9..e7b000075 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,18 +12,25 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/daq1_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr +M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,32 +43,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_ad9122 clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_ad9250 clean + rm -rf $(M_FLIST) make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_ad9122 clean + make -C ../../../library/axi_ad9250 clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean daq1_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit - make -C ../../../library/axi_ad9122 - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_clkgen - make -C ../../../library/axi_ad9250 make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_ad9122 + make -C ../../../library/axi_ad9250 + make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt #################################################################################### #################################################################################### diff --git a/projects/daq2/Makefile b/projects/daq2/Makefile index 1cb918866..b2ce3ce1c 100644 --- a/projects/daq2/Makefile +++ b/projects/daq2/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 10036ea5c..438bbe449 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -16,15 +15,22 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/util_ccat/util_ccat.xpr +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr +M_DEPS += ../../../library/util_ccat/util_ccat.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,30 +43,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_ad9680 clean make -C ../../../library/util_adcfifo clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/util_ccat clean + make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9144 clean + make -C ../../../library/util_ccat clean + make -C ../../../library/axi_dmac clean daq2_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit - make -C ../../../library/axi_ad9680 make -C ../../../library/util_adcfifo - make -C ../../../library/axi_dmac - make -C ../../../library/util_ccat + make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9144 + make -C ../../../library/util_ccat + make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index e33e1751d..7f4e69301 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,17 +14,24 @@ M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr +M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_ccat/util_ccat.xpr -M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,30 +44,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean + rm -rf $(M_FLIST) make -C ../../../library/axi_ad9680 clean make -C ../../../library/util_adcfifo clean + make -C ../../../library/axi_ad9144 clean make -C ../../../library/axi_dmac clean make -C ../../../library/util_ccat clean - make -C ../../../library/axi_ad9144 clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/util_bsplit clean daq2_kcu105.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit make -C ../../../library/axi_ad9680 make -C ../../../library/util_adcfifo + make -C ../../../library/axi_ad9144 make -C ../../../library/axi_dmac make -C ../../../library/util_ccat - make -C ../../../library/axi_ad9144 + make -C ../../../library/axi_jesd_gt + make -C ../../../library/util_bsplit #################################################################################### #################################################################################### diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index 9a727da7e..d9cde81f9 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/daq2_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr -M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_ccat/util_ccat.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr +M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,30 +43,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_ad9680 clean - make -C ../../../library/util_adcfifo clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/util_ccat clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9144 clean + make -C ../../../library/util_adcfifo clean + make -C ../../../library/axi_ad9680 clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean daq2_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit - make -C ../../../library/axi_ad9680 - make -C ../../../library/util_adcfifo - make -C ../../../library/axi_dmac make -C ../../../library/util_ccat + make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9144 + make -C ../../../library/util_adcfifo + make -C ../../../library/axi_ad9680 + make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt #################################################################################### #################################################################################### diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 806f116d8..0ce5b09e6 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/daq2_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_ccat/util_ccat.xpr M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr +M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,36 +46,36 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_adcfifo clean - make -C ../../../library/axi_ad9680 clean + rm -rf $(M_FLIST) make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean make -C ../../../library/util_ccat clean make -C ../../../library/axi_ad9144 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_ad9680 clean + make -C ../../../library/axi_adcfifo clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/axi_clkgen clean daq2_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit - make -C ../../../library/axi_adcfifo - make -C ../../../library/axi_ad9680 make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx make -C ../../../library/util_ccat make -C ../../../library/axi_ad9144 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_ad9680 + make -C ../../../library/axi_adcfifo + make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt + make -C ../../../library/axi_clkgen #################################################################################### #################################################################################### diff --git a/projects/daq3/Makefile b/projects/daq3/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/daq3/Makefile +++ b/projects/daq3/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 508faf1c1..db550fa0e 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/daq3_bd.tcl +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_ccat/util_ccat.xpr +M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr +M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr -M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/util_ccat/util_ccat.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,36 +46,36 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_ccat clean + make -C ../../../library/axi_ad9680 clean + make -C ../../../library/axi_adcfifo clean + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_ad9152 clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_adcfifo clean - make -C ../../../library/axi_ad9680 clean - make -C ../../../library/axi_ad9152 clean - make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/util_ccat clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean daq3_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_dmac + make -C ../../../library/util_ccat + make -C ../../../library/axi_ad9680 + make -C ../../../library/axi_adcfifo + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_ad9152 make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit - make -C ../../../library/axi_adcfifo - make -C ../../../library/axi_ad9680 - make -C ../../../library/axi_ad9152 - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx - make -C ../../../library/util_ccat make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx #################################################################################### #################################################################################### diff --git a/projects/fmcadc2/Makefile b/projects/fmcadc2/Makefile index d707b56a3..15ad7f2c8 100644 --- a/projects/fmcadc2/Makefile +++ b/projects/fmcadc2/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 5041f998c..ab2d8624e 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc2_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -35,26 +41,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/axi_ad9625 clean - make -C ../../../library/util_bsplit clean + rm -rf $(M_FLIST) make -C ../../../library/util_adcfifo clean make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_ad9625 clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/util_bsplit clean fmcadc2_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/axi_ad9625 - make -C ../../../library/util_bsplit make -C ../../../library/util_adcfifo make -C ../../../library/axi_dmac + make -C ../../../library/axi_ad9625 + make -C ../../../library/axi_jesd_gt + make -C ../../../library/util_bsplit #################################################################################### #################################################################################### diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index d081c362f..0945a00db 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcadc2_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,32 +44,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/axi_ad9625 clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_adcfifo clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_ad9625 clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_adcfifo clean fmcadc2_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/axi_ad9625 - make -C ../../../library/util_bsplit - make -C ../../../library/axi_adcfifo - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_bsplit + make -C ../../../library/axi_ad9625 + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_clkgen + make -C ../../../library/axi_dmac make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_adcfifo #################################################################################### #################################################################################### diff --git a/projects/fmcadc4/Makefile b/projects/fmcadc4/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/fmcadc4/Makefile +++ b/projects/fmcadc4/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 0350e2d0c..b0743dfc2 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcadc4_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9234/axi_ad9234.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,32 +44,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_adcfifo clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9234 clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_adcfifo clean fmcadc4_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit - make -C ../../../library/axi_adcfifo - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_clkgen + make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9234 make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_adcfifo #################################################################################### #################################################################################### diff --git a/projects/fmcadc5/Makefile b/projects/fmcadc5/Makefile index 29aeaee02..43d6c17dd 100644 --- a/projects/fmcadc5/Makefile +++ b/projects/fmcadc5/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 5f119619d..7739afa4b 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../common/fmcadc5_bd.tcl +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -35,26 +41,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_adcfifo clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_ad9625 clean make -C ../../../library/util_bsplit clean - make -C ../../../library/util_adcfifo clean - make -C ../../../library/axi_dmac clean fmcadc5_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_dmac + make -C ../../../library/util_adcfifo make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_ad9625 make -C ../../../library/util_bsplit - make -C ../../../library/util_adcfifo - make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/Makefile b/projects/fmcjesdadc1/Makefile index a69c43373..084b26b1d 100644 --- a/projects/fmcjesdadc1/Makefile +++ b/projects/fmcjesdadc1/Makefile @@ -2,17 +2,16 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C a5gt all - -make -C a5soc all -make -C kc705 all -make -C vc707 all -make -C zc706 all + -make -C a5gt all + -make -C a5soc all .PHONY: clean @@ -21,11 +20,11 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C a5gt clean-all - make -C a5soc clean-all make -C kc705 clean-all make -C vc707 clean-all make -C zc706 clean-all + make -C a5gt clean-all + make -C a5soc clean-all #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index 95be3cde0..fb213dab4 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index 95be3cde0..fb213dab4 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index d945c057f..14719b2a6 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,24 +39,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_ad9250 clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/axi_dmac clean fmcjesdadc1_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit - make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9250 + make -C ../../../library/util_bsplit + make -C ../../../library/axi_jesd_gt + make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 6e7e475e9..7d801753f 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,14 +12,21 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -33,24 +39,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean + rm -rf $(M_FLIST) make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_ad9250 clean + make -C ../../../library/util_bsplit clean fmcjesdadc1_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit make -C ../../../library/axi_dmac + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_ad9250 + make -C ../../../library/util_bsplit #################################################################################### #################################################################################### diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index b7845f123..e8a98da06 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/fmcjesdadc1_bd.tcl +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean - make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_ad9250 clean - make -C ../../../library/axi_hdmi_tx clean fmcjesdadc1_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac + make -C ../../../library/axi_clkgen make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_clkgen make -C ../../../library/axi_ad9250 - make -C ../../../library/axi_hdmi_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms1/Makefile b/projects/fmcomms1/Makefile index a3b57bc2c..369e136ea 100644 --- a/projects/fmcomms1/Makefile +++ b/projects/fmcomms1/Makefile @@ -2,18 +2,17 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C ac701 all -make -C kc705 all - -make -C vc707 all - -make -C zc702 all - -make -C zc706 all -make -C zed all + -make -C ac701 all + -make -C vc707 all + -make -C zc706 all + -make -C zc702 all .PHONY: clean @@ -22,12 +21,12 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C ac701 clean-all make -C kc705 clean-all - make -C vc707 clean-all - make -C zc702 clean-all - make -C zc706 clean-all make -C zed clean-all + make -C ac701 clean-all + make -C vc707 clean-all + make -C zc706 clean-all + make -C zc702 clean-all #################################################################################### #################################################################################### diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index 774b0e656..009ee9bf4 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,13 +14,20 @@ M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,24 +40,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/axi_ad9122 clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9643 clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean fmcomms1_ac701.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/axi_ad9122 - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9643 + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo #################################################################################### #################################################################################### diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index f64ffdfeb..794f021a3 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,14 +13,21 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl +M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,24 +40,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_ad9643 clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_ad9643 clean fmcomms1_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_ad9643 make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_ad9643 #################################################################################### #################################################################################### diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index d520f8c00..ab7b7cb99 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,14 +13,21 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,23 +40,23 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_ad9122 clean + rm -rf $(M_FLIST) make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9643 clean fmcomms1_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac + make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9643 #################################################################################### diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index 5787cfa1e..f4cd80b1c 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,29 +43,29 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_ad9122 clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_ad9643 clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_ad9122 clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean make -C ../../../library/axi_hdmi_tx clean fmcomms1_zc702.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_ad9122 - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_ad9643 make -C ../../../library/axi_clkgen + make -C ../../../library/axi_ad9122 + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo make -C ../../../library/axi_hdmi_tx #################################################################################### diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index bf6190088..2d19c32b9 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,17 +13,24 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -37,30 +43,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_ad9122 clean + rm -rf $(M_FLIST) make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9643 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_ad9122 clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_ad9643 clean fmcomms1_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9643 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_ad9122 + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_ad9643 #################################################################################### #################################################################################### diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index 425dbcb8f..35243b64e 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,19 +13,26 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms1_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,34 +45,34 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/axi_ad9122 clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9643 clean - make -C ../../../library/axi_i2s_adi clean - make -C ../../../library/axi_clkgen clean + rm -rf $(M_FLIST) make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/util_i2c_mixer clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_ad9122 clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_ad9643 clean + make -C ../../../library/axi_spdif_tx clean fmcomms1_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer - make -C ../../../library/axi_ad9122 - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9643 - make -C ../../../library/axi_i2s_adi - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_i2s_adi + make -C ../../../library/util_i2c_mixer + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo + make -C ../../../library/axi_ad9122 + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_ad9643 + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/Makefile b/projects/fmcomms2/Makefile index 85c0c02a8..df266c223 100644 --- a/projects/fmcomms2/Makefile +++ b/projects/fmcomms2/Makefile @@ -2,21 +2,20 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C ac701 all - -make -C c5soc all -make -C kc705 all + -make -C zed all + -make -C c5soc all -make -C mitx045 all + -make -C ac701 all -make -C rfsom all -make -C vc707 all - -make -C zc702 all -make -C zc706 all - -make -C zed all + -make -C zc702 all .PHONY: clean @@ -25,15 +24,15 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C ac701 clean-all - make -C c5soc clean-all make -C kc705 clean-all + make -C zed clean-all + make -C c5soc clean-all make -C mitx045 clean-all + make -C ac701 clean-all make -C rfsom clean-all make -C vc707 clean-all - make -C zc702 clean-all make -C zc706 clean-all - make -C zed clean-all + make -C zc702 clean-all #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index 0ed18ce8b..7ab04f379 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -35,26 +41,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_ad9361 clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_ad9361 clean fmcomms2_ac701.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo + make -C ../../../library/axi_ad9361 make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac - make -C ../../../library/axi_ad9361 #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/c5soc/Makefile b/projects/fmcomms2/c5soc/Makefile index 95be3cde0..fb213dab4 100644 --- a/projects/fmcomms2/c5soc/Makefile +++ b/projects/fmcomms2/c5soc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 333ee9558..788151471 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,15 +13,22 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -35,26 +41,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean - make -C ../../../library/util_adc_pack clean + rm -rf $(M_FLIST) + make -C ../../../library/axi_ad9361 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_ad9361 clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/util_adc_pack clean fmcomms2_kc705.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack - make -C ../../../library/util_adc_pack + make -C ../../../library/axi_ad9361 make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_ad9361 + make -C ../../../library/util_dac_unpack + make -C ../../../library/util_adc_pack #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index d87cbe5be..7143d53d1 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,19 +13,26 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,34 +45,34 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean - make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean + rm -rf $(M_FLIST) make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean fmcomms2_mitx045.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack - make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_wfifo + make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_ad9361 make -C ../../../library/axi_i2s_adi + make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_dac_unpack + make -C ../../../library/util_adc_pack make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/rfsom/Makefile b/projects/fmcomms2/rfsom/Makefile index 36b9b2a3f..ed75bd0fd 100644 --- a/projects/fmcomms2/rfsom/Makefile +++ b/projects/fmcomms2/rfsom/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,19 +14,26 @@ M_DEPS += ../../common/rfsom/rfsom_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../common/rfsom/rfsom_system_ps7.tcl +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,34 +46,34 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/axi_ad9361 clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean fmcomms2_rfsom.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_i2s_adi + make -C ../../../library/axi_ad9361 + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 0d852186a..a2d679c63 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -16,13 +15,20 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -35,26 +41,26 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean + make -C ../../../library/axi_ad9361 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_ad9361 clean fmcomms2_vc707.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack + make -C ../../../library/axi_ad9361 make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_ad9361 #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index 8f48ffae7..38cd22681 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,31 +44,31 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean - make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9361 clean + make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_hdmi_tx clean fmcomms2_zc702.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack - make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9361 + make -C ../../../library/util_adc_pack make -C ../../../library/axi_clkgen + make -C ../../../library/util_dac_unpack + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo + make -C ../../../library/axi_ad9361 make -C ../../../library/axi_hdmi_tx #################################################################################### diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 8304e6808..2476f6a22 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,18 +13,25 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -38,32 +44,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean + rm -rf $(M_FLIST) make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean fmcomms2_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac + make -C ../../../library/util_dac_unpack + make -C ../../../library/axi_clkgen make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo #################################################################################### #################################################################################### diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index b6b9f8f1c..72bada7ef 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms2_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,36 +46,36 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/util_dac_unpack clean - make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean + rm -rf $(M_FLIST) make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9361 clean + make -C ../../../library/util_i2c_mixer clean + make -C ../../../library/util_wfifo clean make -C ../../../library/axi_i2s_adi clean - make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_adc_pack clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/axi_clkgen clean fmcomms2_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer - make -C ../../../library/util_dac_unpack - make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9361 + make -C ../../../library/util_i2c_mixer + make -C ../../../library/util_wfifo make -C ../../../library/axi_i2s_adi - make -C ../../../library/axi_clkgen + make -C ../../../library/axi_ad9361 make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_adc_pack + make -C ../../../library/util_dac_unpack + make -C ../../../library/axi_clkgen #################################################################################### #################################################################################### diff --git a/projects/fmcomms2_pr/Makefile b/projects/fmcomms2_pr/Makefile index 8b6a24539..f459404fd 100644 --- a/projects/fmcomms2_pr/Makefile +++ b/projects/fmcomms2_pr/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcomms2_pr/mitx045/Makefile b/projects/fmcomms2_pr/mitx045/Makefile index ba73c0a71..7625bd147 100644 --- a/projects/fmcomms2_pr/mitx045/Makefile +++ b/projects/fmcomms2_pr/mitx045/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,19 +14,26 @@ M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,34 +46,34 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean - make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) + make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_ad9361 clean make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/util_wfifo clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean fmcomms2_pr_mitx045.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack - make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_ad9361 make -C ../../../library/axi_i2s_adi + make -C ../../../library/util_wfifo + make -C ../../../library/axi_dmac + make -C ../../../library/util_dac_unpack + make -C ../../../library/util_adc_pack make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms2_pr/zc706/Makefile b/projects/fmcomms2_pr/zc706/Makefile index 9ea347bec..77d1ba160 100644 --- a/projects/fmcomms2_pr/zc706/Makefile +++ b/projects/fmcomms2_pr/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,18 +14,25 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl -M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,32 +45,32 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_dac_unpack clean + rm -rf $(M_FLIST) make -C ../../../library/util_adc_pack clean - make -C ../../../library/util_wfifo clean - make -C ../../../library/axi_dmac clean + make -C ../../../library/util_dac_unpack clean + make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_wfifo clean fmcomms2_pr_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack - make -C ../../../library/util_wfifo - make -C ../../../library/axi_dmac + make -C ../../../library/util_dac_unpack + make -C ../../../library/axi_clkgen make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac + make -C ../../../library/util_wfifo #################################################################################### #################################################################################### diff --git a/projects/fmcomms5/Makefile b/projects/fmcomms5/Makefile index 150923a1e..2761979fa 100644 --- a/projects/fmcomms5/Makefile +++ b/projects/fmcomms5/Makefile @@ -2,14 +2,13 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C zc702 all -make -C zc706 all + -make -C zc702 all .PHONY: clean @@ -18,8 +17,8 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C zc702 clean-all make -C zc706 clean-all + make -C zc702 clean-all #################################################################################### #################################################################################### diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 96ac33a2c..0abc8a542 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_clkgen clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_ad9361 clean fmcomms5_zc702.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_clkgen make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_ad9361 #################################################################################### #################################################################################### diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index ea1de2759..432258404 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/fmcomms5_bd.tcl +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) + make -C ../../../library/axi_clkgen clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean - make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_ad9361 clean + make -C ../../../library/axi_dmac clean fmcomms5_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1 .PHONY: lib lib: + make -C ../../../library/axi_clkgen make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_ad9361 + make -C ../../../library/axi_dmac #################################################################################### #################################################################################### diff --git a/projects/fmcomms6/Makefile b/projects/fmcomms6/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/fmcomms6/Makefile +++ b/projects/fmcomms6/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index 413a8f924..09f8b9abc 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -15,15 +14,22 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../common/fmcomms6_bd.tcl M_DEPS += ../../../library/axi_ad9652/axi_ad9652.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,28 +42,28 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/axi_ad9652 clean + make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_spdif_tx clean fmcomms6_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/axi_ad9652 + make -C ../../../library/axi_hdmi_tx make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/fmcomms7/Makefile b/projects/fmcomms7/Makefile index f6e9c561f..4c8a0eb58 100644 --- a/projects/fmcomms7/Makefile +++ b/projects/fmcomms7/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index 63f797cdf..369730057 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,20 +13,27 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl M_DEPS += ../common/fmcomms7_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr -M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_ccat/util_ccat.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/util_ccat/util_ccat.xpr -M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -40,36 +46,36 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean - make -C ../../../library/util_bsplit clean + rm -rf $(M_FLIST) + make -C ../../../library/axi_ad9144 clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_ccat clean make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_ad9680 clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/util_ccat clean - make -C ../../../library/axi_ad9144 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_clkgen clean fmcomms7_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt - make -C ../../../library/util_bsplit + make -C ../../../library/axi_ad9144 + make -C ../../../library/axi_dmac + make -C ../../../library/util_ccat make -C ../../../library/axi_adcfifo make -C ../../../library/axi_ad9680 - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/util_ccat - make -C ../../../library/axi_ad9144 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_jesd_gt + make -C ../../../library/util_bsplit + make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_clkgen #################################################################################### #################################################################################### diff --git a/projects/imageon/Makefile b/projects/imageon/Makefile index 03e4691ad..a37c42fb0 100644 --- a/projects/imageon/Makefile +++ b/projects/imageon/Makefile @@ -2,14 +2,13 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C zc706 all -make -C zed all + -make -C zc706 all .PHONY: clean @@ -18,8 +17,8 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C zc706 clean-all make -C zed clean-all + make -C zc706 clean-all #################################################################################### #################################################################################### diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index 1d884a071..fc79ac7c1 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,15 +12,22 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/imageon_bd.tcl -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -34,24 +40,24 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_dmac clean make -C ../../../library/axi_hdmi_rx clean make -C ../../../library/axi_hdmi_tx clean imageon_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen + make -C ../../../library/axi_dmac make -C ../../../library/axi_hdmi_rx make -C ../../../library/axi_hdmi_tx diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 17fe422ed..e3a0dfa99 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/imageon_bd.tcl -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr -M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr +M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean + rm -rf $(M_FLIST) make -C ../../../library/axi_i2s_adi clean - make -C ../../../library/axi_clkgen clean - make -C ../../../library/axi_hdmi_rx clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_i2c_mixer clean + make -C ../../../library/axi_hdmi_rx clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_spdif_tx clean imageon_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/util_i2c_mixer - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_i2s_adi - make -C ../../../library/axi_clkgen - make -C ../../../library/axi_hdmi_rx make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac + make -C ../../../library/util_i2c_mixer + make -C ../../../library/axi_hdmi_rx + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_spdif_tx #################################################################################### #################################################################################### diff --git a/projects/motcon1_fmc/Makefile b/projects/motcon1_fmc/Makefile index c02c05319..a37bcfbfc 100644 --- a/projects/motcon1_fmc/Makefile +++ b/projects/motcon1_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/motcon1_fmc/zed/Makefile b/projects/motcon1_fmc/zed/Makefile index d854d8d6d..a532aefa4 100644 --- a/projects/motcon1_fmc/zed/Makefile +++ b/projects/motcon1_fmc/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -12,21 +11,28 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/motcon1_fmc_bd.tcl -M_DEPS += ../../../library/controllerperipheralhdladi_pcore/controllerperipheralhdladi_pcore.xpr -M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr +M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/controllerperipheralhdladi_pcore/controllerperipheralhdladi_pcore.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -39,38 +45,38 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/controllerperipheralhdladi_pcore clean - make -C ../../../library/axi_mc_speed clean - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/util_adc_pack clean - make -C ../../../library/axi_mc_controller clean - make -C ../../../library/axi_dmac clean + rm -rf $(M_FLIST) make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_mc_speed clean make -C ../../../library/axi_mc_current_monitor clean - make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/util_adc_pack clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/util_i2c_mixer clean + make -C ../../../library/axi_mc_controller clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/controllerperipheralhdladi_pcore clean + make -C ../../../library/axi_i2s_adi clean motcon1_fmc_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> motcon1_fmc_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> motcon1_fmc_zed_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/controllerperipheralhdladi_pcore - make -C ../../../library/axi_mc_speed - make -C ../../../library/util_i2c_mixer - make -C ../../../library/util_adc_pack - make -C ../../../library/axi_mc_controller - make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_mc_speed make -C ../../../library/axi_mc_current_monitor - make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen + make -C ../../../library/util_adc_pack + make -C ../../../library/axi_dmac + make -C ../../../library/util_i2c_mixer + make -C ../../../library/axi_mc_controller make -C ../../../library/axi_hdmi_tx + make -C ../../../library/controllerperipheralhdladi_pcore + make -C ../../../library/axi_i2s_adi #################################################################################### #################################################################################### diff --git a/projects/motcon2_fmc/Makefile b/projects/motcon2_fmc/Makefile index c02c05319..a37bcfbfc 100644 --- a/projects/motcon2_fmc/Makefile +++ b/projects/motcon2_fmc/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index 70317aa13..158df8530 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -14,21 +13,28 @@ M_DEPS += system_bd.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../common/motcon2_fmc_bd.tcl M_DEPS += ../../../library/util_cpack/util_cpack.xpr -M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr -M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr -M_DEPS += ../../../library/util_gmii_to_rgmii/util_gmii_to_rgmii.xpr M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr -M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr -M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr +M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/util_gmii_to_rgmii/util_gmii_to_rgmii.xpr +M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr +M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -41,40 +47,40 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) + rm -rf $(M_FLIST) make -C ../../../library/util_cpack clean - make -C ../../../library/axi_mc_speed clean - make -C ../../../library/util_i2c_mixer clean - make -C ../../../library/util_gmii_to_rgmii clean make -C ../../../library/util_adc_pack clean - make -C ../../../library/axi_mc_controller clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_mc_current_monitor clean - make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/axi_mc_speed clean + make -C ../../../library/axi_mc_current_monitor clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/util_gmii_to_rgmii clean + make -C ../../../library/axi_i2s_adi clean + make -C ../../../library/util_i2c_mixer clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_mc_controller clean motcon2_fmc_zed.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1 .PHONY: lib lib: make -C ../../../library/util_cpack - make -C ../../../library/axi_mc_speed - make -C ../../../library/util_i2c_mixer - make -C ../../../library/util_gmii_to_rgmii make -C ../../../library/util_adc_pack - make -C ../../../library/axi_mc_controller - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_mc_current_monitor - make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_clkgen + make -C ../../../library/axi_spdif_tx + make -C ../../../library/axi_mc_speed + make -C ../../../library/axi_mc_current_monitor make -C ../../../library/axi_hdmi_tx + make -C ../../../library/util_gmii_to_rgmii + make -C ../../../library/axi_i2s_adi + make -C ../../../library/util_i2c_mixer + make -C ../../../library/axi_dmac + make -C ../../../library/axi_mc_controller #################################################################################### #################################################################################### diff --git a/projects/usdrx1/Makefile b/projects/usdrx1/Makefile index 0bf8d29e7..1b2e92e04 100644 --- a/projects/usdrx1/Makefile +++ b/projects/usdrx1/Makefile @@ -2,14 +2,13 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### .PHONY: all all: - -make -C a5gt all -make -C zc706 all + -make -C a5gt all .PHONY: clean @@ -18,8 +17,8 @@ clean: clean-all .PHONY: clean-all clean-all: - make -C a5gt clean-all make -C zc706 clean-all + make -C a5gt clean-all #################################################################################### #################################################################################### diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index 95be3cde0..fb213dab4 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 201000874..e667cf2fe 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -2,7 +2,6 @@ #################################################################################### ## Copyright 2011(c) Analog Devices, Inc. ## Auto-generated, do not modify! -## Fri Apr 3 11:55:07 2015 #################################################################################### #################################################################################### @@ -13,17 +12,24 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../common/usdrx1_bd.tcl -M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr -M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -C_VIVADO := vivado -mode batch -source +M_VIVADO := vivado -mode batch -source -F_LIST := `find . -not -name '.' -not -name '*.tcl' -not -name '*.v' -not -name '*.xdc' -not -name '*.vhd' -not -name '*.prj' -not -name 'Makefile' -not -name '*.h' ` +M_FLIST := `find . -not -name '.' +M_FLIST += -not -name '*.tcl' +M_FLIST += -not -name '*.v' +M_FLIST += -not -name '*.xdc' +M_FLIST += -not -name '*.vhd' +M_FLIST += -not -name '*.prj' +M_FLIST += -not -name 'Makefile' +M_FLIST += -not -name '*.h' ` .PHONY: all @@ -36,30 +42,30 @@ clean: clean-all .PHONY: clean-all clean-all: - rm -rf $(F_LIST) - make -C ../../../library/axi_jesd_gt clean + rm -rf $(M_FLIST) + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_spdif_tx clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9671 clean - make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_spdif_tx clean - make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_dmac clean usdrx1_zc706.xpr: lib $(M_DEPS) - rm -rf $(F_LIST) - $(C_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1 + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1 .PHONY: lib lib: - make -C ../../../library/axi_jesd_gt + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_spdif_tx make -C ../../../library/util_bsplit make -C ../../../library/axi_ad9671 - make -C ../../../library/axi_dmac - make -C ../../../library/axi_spdif_tx - make -C ../../../library/axi_clkgen + make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_dmac #################################################################################### ####################################################################################