From 6517a94929fd7140ea01dcc1335170a484c0780b Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Fri, 21 Nov 2014 19:22:03 +0200 Subject: [PATCH] fmcomms2_pr: Update interrupts and iobuf No functional changes, update to the new interrupt path, and change the iobuf instantiation in order to keep consistency. --- projects/fmcomms2_pr/mitx045/system_top.v | 29 +++++++++++++++++------ 1 file changed, 22 insertions(+), 7 deletions(-) diff --git a/projects/fmcomms2_pr/mitx045/system_top.v b/projects/fmcomms2_pr/mitx045/system_top.v index b96366953..27ec4726c 100644 --- a/projects/fmcomms2_pr/mitx045/system_top.v +++ b/projects/fmcomms2_pr/mitx045/system_top.v @@ -182,7 +182,6 @@ module system_top ( wire [48:0] gpio_i; wire [48:0] gpio_o; wire [48:0] gpio_t; - wire [19:0] gpio_wire; wire clk; wire dma_dac_dunf; @@ -209,10 +208,12 @@ module system_top ( wire [31:0] dac_gpio_input; wire [31:0] dac_gpio_output; - ad_iobuf #(.DATA_WIDTH(49)) i_iobuf ( - .dt (gpio_t[48:0]), - .di (gpio_o[48:0]), - .do (gpio_i[48:0]), + wire [15:0] ps_intrs; + + ad_iobuf #(.DATA_WIDTH(29)) i_iobuf ( + .dt ({gpio_t[48:32], gpio_t[11:0]}), + .di ({gpio_o[48:32], gpio_o[11:0]}), + .do ({gpio_i[48:32], gpio_i[11:0]}), .dio ({ gpio_txnrx, // 48 gpio_enable, // 47 gpio_resetb, // 46 @@ -220,7 +221,6 @@ module system_top ( gpio_en_agc, // 44 gpio_ctl, // 40 gpio_status, // 32 - gpio_wire, // 15 gpio_bd})); // 0 prcfg_system_top i_prcfg_system_top ( @@ -283,7 +283,22 @@ module system_top ( .i2s_sdata_out (i2s_sdata_out), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - + .ps_intr_0 (ps_intrs[0]), + .ps_intr_1 (ps_intrs[1]), + .ps_intr_10 (ps_intrs[10]), + .ps_intr_11 (ps_intrs[11]), + .ps_intr_12 (ps_intrs[12]), + .ps_intr_13 (ps_intrs[13]), + .ps_intr_2 (ps_intrs[2]), + .ps_intr_3 (ps_intrs[3]), + .ps_intr_4 (ps_intrs[4]), + .ps_intr_5 (ps_intrs[5]), + .ps_intr_6 (ps_intrs[6]), + .ps_intr_7 (ps_intrs[7]), + .ps_intr_8 (ps_intrs[8]), + .ps_intr_9 (ps_intrs[9]), + .ad9361_dac_dma_irq (ps_intrs[12]), + .ad9361_adc_dma_irq (ps_intrs[13]), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n),