From 671a547c2bd91967d94a3154aee5fe4eac8085ac Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Mon, 31 Oct 2016 15:45:26 -0400 Subject: [PATCH] hdlmake- updates --- projects/adrv9371x/a10gx/Makefile | 11 ++++-- projects/adrv9371x/a10soc/Makefile | 11 ++++-- projects/arradio/c5soc/Makefile | 36 ------------------ projects/daq1/a10gx/Makefile | 9 ++++- projects/fmcomms2/a10gx/Makefile | 39 ------------------- projects/pzsdr/Makefile | 7 ++++ projects/pzsdr1/Makefile | 6 +-- projects/usrpe31x/Makefile | 60 +++--------------------------- 8 files changed, 37 insertions(+), 142 deletions(-) diff --git a/projects/adrv9371x/a10gx/Makefile b/projects/adrv9371x/a10gx/Makefile index 947157310..ce1259b4a 100644 --- a/projects/adrv9371x/a10gx/Makefile +++ b/projects/adrv9371x/a10gx/Makefile @@ -19,6 +19,12 @@ M_DEPS += ../common/adrv9371x_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl +M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v +M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl +M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v @@ -48,17 +54,15 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v -M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v -M_DEPS += ../../../library/common/ad_jesd_align.v M_DEPS += ../../../library/common/ad_mem_asym.v M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/ad_xcvr_rx_if.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v @@ -67,7 +71,6 @@ M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/common/up_clock_mon.v M_DEPS += ../../../library/common/up_dac_channel.v M_DEPS += ../../../library/common/up_dac_common.v -M_DEPS += ../../../library/common/up_xcvr.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index fe52269d0..1999474d0 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -19,6 +19,12 @@ M_DEPS += ../common/adrv9371x_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl +M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg.v +M_DEPS += ../../../library/altera/avl_adxcfg/avl_adxcfg_hw.tcl +M_DEPS += ../../../library/altera/avl_adxcvr/avl_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr.v +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_hw.tcl +M_DEPS += ../../../library/altera/axi_adxcvr/axi_adxcvr_up.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v @@ -48,17 +54,15 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v -M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v -M_DEPS += ../../../library/common/ad_jesd_align.v M_DEPS += ../../../library/common/ad_mem_asym.v M_DEPS += ../../../library/common/ad_rst.v +M_DEPS += ../../../library/common/ad_xcvr_rx_if.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v @@ -67,7 +71,6 @@ M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/common/up_clock_mon.v M_DEPS += ../../../library/common/up_dac_channel.v M_DEPS += ../../../library/common/up_dac_common.v -M_DEPS += ../../../library/common/up_xcvr.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index 6918a8b80..4de40a701 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -19,25 +19,7 @@ M_DEPS += ../common/arradio_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/c5soc/c5soc_system_bd.qsys M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl -M_DEPS += ../../../library/altera/common/ad_cmos_clk.v -M_DEPS += ../../../library/altera/common/ad_cmos_in.v -M_DEPS += ../../../library/altera/common/ad_cmos_out.v -M_DEPS += ../../../library/altera/common/ad_dcfilter.v -M_DEPS += ../../../library/altera/common/ad_mul.v -M_DEPS += ../../../library/altera/common/ad_serdes_clk.v -M_DEPS += ../../../library/altera/common/ad_serdes_in.v -M_DEPS += ../../../library/altera/common/ad_serdes_out.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v -M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_cmos_if.v -M_DEPS += ../../../library/axi_ad9361/altera/axi_ad9361_lvds_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v M_DEPS += ../../../library/axi_dmac/2d_transfer.v M_DEPS += ../../../library/axi_dmac/address_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v @@ -57,28 +39,10 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_addsub.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/ad_dds.v -M_DEPS += ../../../library/common/ad_dds_1.v -M_DEPS += ../../../library/common/ad_dds_sine.v -M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_mem.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_rst.v -M_DEPS += ../../../library/common/ad_tdd_control.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/common/up_adc_common.v M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_dac_channel.v -M_DEPS += ../../../library/common/up_dac_common.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_tdd_cntrl.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v diff --git a/projects/daq1/a10gx/Makefile b/projects/daq1/a10gx/Makefile index 3ce97770a..8d7088479 100644 --- a/projects/daq1/a10gx/Makefile +++ b/projects/daq1/a10gx/Makefile @@ -19,11 +19,14 @@ M_DEPS += ../common/daq1_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl +M_DEPS += ../../../library/altera/common/ad_serdes_in.v +M_DEPS += ../../../library/axi_ad9122/PATH M_DEPS += ../../../library/axi_ad9122/axi_ad9122.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122_channel.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122_core.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122_hw.tcl M_DEPS += ../../../library/axi_ad9122/axi_ad9122_if.v +M_DEPS += ../../../library/axi_ad9684/PATH M_DEPS += ../../../library/axi_ad9684/axi_ad9684.v M_DEPS += ../../../library/axi_ad9684/axi_ad9684_channel.v M_DEPS += ../../../library/axi_ad9684/axi_ad9684_hw.tcl @@ -48,10 +51,12 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v +M_DEPS += ../../../library/common/ad_axis_inf_rx.v M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v +M_DEPS += ../../../library/common/ad_mem_asym.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/sync_bits.v @@ -65,6 +70,8 @@ M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_status.v +M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v +M_DEPS += ../../../library/util_adcfifo/util_adcfifo_hw.tcl M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v @@ -80,8 +87,6 @@ M_DEPS += ../../../library/util_upack/util_upack_dsf.v M_DEPS += ../../../library/util_upack/util_upack_hw.tcl M_DEPS += ../../../library/xilinx/common/ad_mmcm_drp.v M_DEPS += ../../../library/xilinx/common/ad_mul.v -M_DEPS += ../../../library/xilinx/common/ad_serdes_clk.v -M_DEPS += ../../../library/xilinx/common/ad_serdes_in.v M_DEPS += ../../../library/xilinx/common/ad_serdes_out.v diff --git a/projects/fmcomms2/a10gx/Makefile b/projects/fmcomms2/a10gx/Makefile index 6011b3a7a..8776a9a5e 100644 --- a/projects/fmcomms2/a10gx/Makefile +++ b/projects/fmcomms2/a10gx/Makefile @@ -19,28 +19,7 @@ M_DEPS += ../common/fmcomms2_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -M_DEPS += ../../../altera/axi_ad9361_cmos_if.v -M_DEPS += ../../../altera/axi_ad9361_lvds_if.v -M_DEPS += ../../../library/altera/common/ad_cmos_clk.v -M_DEPS += ../../../library/altera/common/ad_cmos_in.v -M_DEPS += ../../../library/altera/common/ad_cmos_out.v -M_DEPS += ../../../library/altera/common/ad_dcfilter.v -M_DEPS += ../../../library/altera/common/ad_lvds_clk.v -M_DEPS += ../../../library/altera/common/ad_lvds_in.v -M_DEPS += ../../../library/altera/common/ad_lvds_out.v -M_DEPS += ../../../library/altera/common/ad_mul.v -M_DEPS += ../../../library/altera/common/ad_serdes_clk.v -M_DEPS += ../../../library/altera/common/ad_serdes_in.v -M_DEPS += ../../../library/altera/common/ad_serdes_out.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v M_DEPS += ../../../library/axi_dmac/2d_transfer.v M_DEPS += ../../../library/axi_dmac/address_generator.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v @@ -60,28 +39,10 @@ M_DEPS += ../../../library/axi_dmac/splitter.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_addsub.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/ad_dds.v -M_DEPS += ../../../library/common/ad_dds_1.v -M_DEPS += ../../../library/common/ad_dds_sine.v -M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_mem.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_rst.v -M_DEPS += ../../../library/common/ad_tdd_control.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/common/up_adc_common.v M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_dac_channel.v -M_DEPS += ../../../library/common/up_dac_common.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_tdd_cntrl.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v diff --git a/projects/pzsdr/Makefile b/projects/pzsdr/Makefile index a51f74003..6ee1e9a38 100644 --- a/projects/pzsdr/Makefile +++ b/projects/pzsdr/Makefile @@ -13,6 +13,8 @@ all: -make -C ccpci_lvds all -make -C ccusb_lvds all -make -C ccbox_lvds all + -make -C ccbrk_cmos all + -make -C ccbrk_lvds all clean: @@ -22,6 +24,9 @@ clean: make -C ccpci_lvds clean make -C ccusb_lvds clean make -C ccbox_lvds clean + make -C ccbrk_cmos clean + make -C ccbrk_lvds clean + clean-all: make -C ccbrk_cmos clean-all @@ -30,6 +35,8 @@ clean-all: make -C ccpci_lvds clean-all make -C ccusb_lvds clean-all make -C ccbox_lvds clean-all + make -C ccbrk_cmos clean-all + make -C ccbrk_lvds clean-all #################################################################################### #################################################################################### diff --git a/projects/pzsdr1/Makefile b/projects/pzsdr1/Makefile index 5db7627e6..d31684d1c 100644 --- a/projects/pzsdr1/Makefile +++ b/projects/pzsdr1/Makefile @@ -8,20 +8,20 @@ .PHONY: all clean clean-all all: -make -C ccbox_lvds all - -make -C ccbrk_lvds all -make -C ccbrk_cmos all + -make -C ccbrk_lvds all clean: make -C ccbox_lvds clean - make -C ccbrk_lvds clean make -C ccbrk_cmos clean + make -C ccbrk_lvds clean clean-all: make -C ccbox_lvds clean-all - make -C ccbrk_lvds clean-all make -C ccbrk_cmos clean-all + make -C ccbrk_lvds clean-all #################################################################################### #################################################################################### diff --git a/projects/usrpe31x/Makefile b/projects/usrpe31x/Makefile index 6d71eb7cc..620ee8f00 100644 --- a/projects/usrpe31x/Makefile +++ b/projects/usrpe31x/Makefile @@ -5,65 +5,17 @@ #################################################################################### #################################################################################### -M_DEPS += system_top.v -M_DEPS += system_project.tcl -M_DEPS += system_constr.xdc -M_DEPS += system_bd.tcl -M_DEPS += ../scripts/adi_project.tcl -M_DEPS += ../scripts/adi_env.tcl -M_DEPS += ../scripts/adi_board.tcl -M_DEPS += ../../library/xilinx/common/ad_iobuf.v -M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../library/util_cpack/util_cpack.xpr -M_DEPS += ../../library/util_upack/util_upack.xpr -M_DEPS += ../../library/util_tdd_sync/util_tdd_sync.xpr - -M_VIVADO := vivado -mode batch -source - -M_FLIST := *.cache -M_FLIST += *.data -M_FLIST += *.xpr -M_FLIST += *.log -M_FLIST += *.jou -M_FLIST += xgui -M_FLIST += *.runs -M_FLIST += *.srcs -M_FLIST += *.sdk -M_FLIST += *.hw -M_FLIST += *.sim -M_FLIST += .Xil -M_FLIST += *.ip_user_files - - - -.PHONY: all lib clean clean-all -all: lib usrpe31x.sdk/system_top.hdf +.PHONY: all clean clean-all +all: + -make -C system_project.tcl all clean: - rm -rf $(M_FLIST) + make -C system_project.tcl clean -clean-all:clean - make -C ../../library/axi_ad9361 clean - make -C ../../library/axi_dmac clean - make -C ../../library/util_cpack clean - make -C ../../library/util_upack clean - make -C ../../library/util_tdd_sync clean - - -usrpe31x.sdk/system_top.hdf: $(M_DEPS) - -rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> usrpe31x_vivado.log 2>&1 - - -lib: - make -C ../../library/axi_ad9361 - make -C ../../library/axi_dmac - make -C ../../library/util_cpack - make -C ../../library/util_upack - make -C ../../library/util_tdd_sync +clean-all: + make -C system_project.tcl clean-all #################################################################################### ####################################################################################