Added phys_opt_design step for fixing timing

The FMCOMMS1 meets timing on ZED/ZC702 only if the phys_opt_design step
is part of the implmentation flow, with the Explore argument.
"This step performs physical optimizations such as timing-driven
replicaiton of high fanouts nets to improve timing results"
main
Adrian Costina 2014-03-19 16:42:44 +02:00
parent e373b85954
commit 698e9f4757
1 changed files with 3 additions and 0 deletions

View File

@ -86,6 +86,9 @@ proc adi_project_run {project_name} {
open_run synth_1
report_timing_summary -file timing_synth.log
set_property STEPS.PHYS_OPT_DESIGN.IS_ENABLED true [get_runs impl_1]
set_property STEPS.PHYS_OPT_DESIGN.ARGS.DIRECTIVE Explore [get_runs impl_1]
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
open_run impl_1