From 698e9f4757ea8c406206c471135aab9230779128 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Wed, 19 Mar 2014 16:42:44 +0200 Subject: [PATCH] Added phys_opt_design step for fixing timing The FMCOMMS1 meets timing on ZED/ZC702 only if the phys_opt_design step is part of the implmentation flow, with the Explore argument. "This step performs physical optimizations such as timing-driven replicaiton of high fanouts nets to improve timing results" --- projects/scripts/adi_project.tcl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/projects/scripts/adi_project.tcl b/projects/scripts/adi_project.tcl index fb1e84c4a..0e7a6820a 100644 --- a/projects/scripts/adi_project.tcl +++ b/projects/scripts/adi_project.tcl @@ -86,6 +86,9 @@ proc adi_project_run {project_name} { open_run synth_1 report_timing_summary -file timing_synth.log + set_property STEPS.PHYS_OPT_DESIGN.IS_ENABLED true [get_runs impl_1] + set_property STEPS.PHYS_OPT_DESIGN.ARGS.DIRECTIVE Explore [get_runs impl_1] + launch_runs impl_1 -to_step write_bitstream wait_on_run impl_1 open_run impl_1