Refresh Makefiles

Re-generate the Makefiles after a small update to the generation script:
- Entries are sorted alphabetically
- Empty dependency lines are skipped

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
main
Lars-Peter Clausen 2015-05-21 14:21:14 +02:00
parent ebbc0c6ed5
commit 6b9906b22b
61 changed files with 739 additions and 742 deletions

View File

@ -39,15 +39,15 @@ clean:
make -C axi_mc_speed clean
make -C axi_spdif_tx clean
make -C controllerperipheralhdladi_pcore clean
make -C util_adcfifo clean
make -C util_adc_pack clean
make -C util_adcfifo clean
make -C util_axis_fifo clean
make -C util_axis_resize clean
make -C util_bsplit clean
make -C util_ccat clean
make -C util_cpack clean
make -C util_dacfifo clean
make -C util_dac_unpack clean
make -C util_dacfifo clean
make -C util_gmii_to_rgmii clean
make -C util_i2c_mixer clean
make -C util_pmod_adc clean
@ -90,15 +90,15 @@ lib:
-make -C axi_mc_speed
-make -C axi_spdif_tx
-make -C controllerperipheralhdladi_pcore
-make -C util_adcfifo
-make -C util_adc_pack
-make -C util_adcfifo
-make -C util_axis_fifo
-make -C util_axis_resize
-make -C util_bsplit
-make -C util_ccat
-make -C util_cpack
-make -C util_dacfifo
-make -C util_dac_unpack
-make -C util_dacfifo
-make -C util_gmii_to_rgmii
-make -C util_i2c_mixer
-make -C util_pmod_adc

View File

@ -20,7 +20,6 @@ M_DEPS += i2s_clkgen.vhd
M_DEPS += fifo_synchronizer.vhd
M_DEPS += axi_i2s_adi.vhd
M_DEPS += axi_i2s_adi_constr.xdc
M_DEPS +=
M_VIVADO := vivado -mode batch -source

View File

@ -14,7 +14,6 @@ M_DEPS += address_gray.v
M_DEPS += address_gray_pipelined.v
M_DEPS += address_sync.v
M_DEPS += util_axis_fifo.v
M_DEPS +=
M_VIVADO := vivado -mode batch -source

View File

@ -10,7 +10,6 @@ M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_ip.tcl
M_DEPS += util_pmod_adc_constr.xdc
M_DEPS += util_pmod_adc.v
M_DEPS +=
M_VIVADO := vivado -mode batch -source

View File

@ -7,87 +7,87 @@
.PHONY: all clean clean_all
all:
-make -C fmcomms6 all
-make -C fmcjesdadc1 all
-make -C daq1 all
-make -C imageon_loopback all
-make -C fmcomms7 all
-make -C cftl_cip all
-make -C adv7511 all
-make -C fmcadc5 all
-make -C daq3 all
-make -C ad6676evb all
-make -C ad9265_fmc all
-make -C ad9434_fmc all
-make -C cftl_std all
-make -C fmcadc4 all
-make -C fmcomms5 all
-make -C imageon all
-make -C usdrx1 all
-make -C ad6676evb all
-make -C ad9467_fmc all
-make -C fmcomms2_pr all
-make -C fmcomms2 all
-make -C fmcomms1 all
-make -C ad9739a_fmc all
-make -C fmcadc2 all
-make -C motcon2_fmc all
-make -C adv7511 all
-make -C cftl_cip all
-make -C cftl_std all
-make -C daq1 all
-make -C daq2 all
-make -C daq3 all
-make -C fmcadc2 all
-make -C fmcadc4 all
-make -C fmcadc5 all
-make -C fmcjesdadc1 all
-make -C fmcomms1 all
-make -C fmcomms2 all
-make -C fmcomms2_pr all
-make -C fmcomms5 all
-make -C fmcomms6 all
-make -C fmcomms7 all
-make -C imageon all
-make -C imageon_loopback all
-make -C motcon2_fmc all
-make -C usdrx1 all
clean:
make -C fmcomms6 clean
make -C fmcjesdadc1 clean
make -C daq1 clean
make -C imageon_loopback clean
make -C fmcomms7 clean
make -C cftl_cip clean
make -C adv7511 clean
make -C fmcadc5 clean
make -C daq3 clean
make -C ad6676evb clean
make -C ad9265_fmc clean
make -C ad9434_fmc clean
make -C cftl_std clean
make -C fmcadc4 clean
make -C fmcomms5 clean
make -C imageon clean
make -C usdrx1 clean
make -C ad6676evb clean
make -C ad9467_fmc clean
make -C fmcomms2_pr clean
make -C fmcomms2 clean
make -C fmcomms1 clean
make -C ad9739a_fmc clean
make -C fmcadc2 clean
make -C motcon2_fmc clean
make -C adv7511 clean
make -C cftl_cip clean
make -C cftl_std clean
make -C daq1 clean
make -C daq2 clean
make -C daq3 clean
make -C fmcadc2 clean
make -C fmcadc4 clean
make -C fmcadc5 clean
make -C fmcjesdadc1 clean
make -C fmcomms1 clean
make -C fmcomms2 clean
make -C fmcomms2_pr clean
make -C fmcomms5 clean
make -C fmcomms6 clean
make -C fmcomms7 clean
make -C imageon clean
make -C imageon_loopback clean
make -C motcon2_fmc clean
make -C usdrx1 clean
clean-all:
make -C fmcomms6 clean-all
make -C fmcjesdadc1 clean-all
make -C daq1 clean-all
make -C imageon_loopback clean-all
make -C fmcomms7 clean-all
make -C cftl_cip clean-all
make -C adv7511 clean-all
make -C fmcadc5 clean-all
make -C daq3 clean-all
make -C ad6676evb clean-all
make -C ad9265_fmc clean-all
make -C ad9434_fmc clean-all
make -C cftl_std clean-all
make -C fmcadc4 clean-all
make -C fmcomms5 clean-all
make -C imageon clean-all
make -C usdrx1 clean-all
make -C ad6676evb clean-all
make -C ad9467_fmc clean-all
make -C fmcomms2_pr clean-all
make -C fmcomms2 clean-all
make -C fmcomms1 clean-all
make -C ad9739a_fmc clean-all
make -C fmcadc2 clean-all
make -C motcon2_fmc clean-all
make -C adv7511 clean-all
make -C cftl_cip clean-all
make -C cftl_std clean-all
make -C daq1 clean-all
make -C daq2 clean-all
make -C daq3 clean-all
make -C fmcadc2 clean-all
make -C fmcadc4 clean-all
make -C fmcadc5 clean-all
make -C fmcjesdadc1 clean-all
make -C fmcomms1 clean-all
make -C fmcomms2 clean-all
make -C fmcomms2_pr clean-all
make -C fmcomms5 clean-all
make -C fmcomms6 clean-all
make -C fmcomms7 clean-all
make -C imageon clean-all
make -C imageon_loopback clean-all
make -C motcon2_fmc clean-all
make -C usdrx1 clean-all
####################################################################################
####################################################################################

View File

@ -13,10 +13,10 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -42,10 +42,10 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad6676 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
ad6676evb_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -54,10 +54,10 @@ ad6676evb_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad6676
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad6676 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad6676 clean
make -C ../../../library/axi_hdmi_tx clean
ad6676evb_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ ad6676evb_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad6676
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad6676
make -C ../../../library/axi_hdmi_tx
####################################################################################
####################################################################################

View File

@ -13,12 +13,12 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,12 +44,12 @@ clean:
clean-all:clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9265 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_wfifo clean
ad9265_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -58,12 +58,12 @@ ad9265_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9265
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -12,11 +12,11 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -42,11 +42,11 @@ clean:
clean-all:clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9434 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
ad9434_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -55,11 +55,11 @@ ad9434_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9434
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -13,8 +13,8 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_VIVADO := vivado -mode batch -source
@ -40,8 +40,8 @@ clean:
clean-all:clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean
make -C ../../../library/axi_dmac clean
ad9467_fmc_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -50,8 +50,8 @@ ad9467_fmc_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9467
make -C ../../../library/axi_dmac
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
ad9467_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ ad9467_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9467
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -13,10 +13,10 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -43,10 +43,10 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9739a clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
ad9739a_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -56,10 +56,10 @@ ad9739a_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9739a
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -13,9 +13,9 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -41,9 +41,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_ac701.sdk/system_top.hdf: $(M_DEPS)
@ -52,9 +52,9 @@ adv7511_ac701.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -13,9 +13,9 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -41,9 +41,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -52,9 +52,9 @@ adv7511_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -13,9 +13,9 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../common/adv7511_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -41,9 +41,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_kcu105.sdk/system_top.hdf: $(M_DEPS)
@ -52,9 +52,9 @@ adv7511_kcu105.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -12,10 +12,10 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -41,10 +41,10 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
adv7511_mitx045.sdk/system_top.hdf: $(M_DEPS)
@ -53,10 +53,10 @@ adv7511_mitx045.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -13,9 +13,9 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -41,9 +41,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -52,9 +52,9 @@ adv7511_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -11,9 +11,9 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -39,9 +39,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_zc702.sdk/system_top.hdf: $(M_DEPS)
@ -50,9 +50,9 @@ adv7511_zc702.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -11,9 +11,9 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -39,9 +39,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
adv7511_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -50,9 +50,9 @@ adv7511_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -11,11 +11,11 @@ M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -41,11 +41,11 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
adv7511_zed.sdk/system_top.hdf: $(M_DEPS)
@ -54,11 +54,11 @@ adv7511_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -12,14 +12,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_cip_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_pmod_adc/util_pmod_adc.xpr
M_DEPS += ../../../library/util_pmod_fmeter/util_pmod_fmeter.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -45,14 +45,14 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_pmod_adc clean
make -C ../../../library/util_pmod_fmeter clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_hdmi_tx clean
cftl_cip_zed.sdk/system_top.hdf: $(M_DEPS)
@ -61,14 +61,14 @@ cftl_cip_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_pmod_adc
make -C ../../../library/util_pmod_fmeter
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_hdmi_tx
####################################################################################
####################################################################################

View File

@ -12,11 +12,11 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/cftl_std_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -42,11 +42,11 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
cftl_std_zed.sdk/system_top.hdf: $(M_DEPS)
@ -55,11 +55,11 @@ cftl_std_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -12,14 +12,14 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -45,14 +45,14 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -61,14 +61,14 @@ daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -14,16 +14,16 @@ M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -49,16 +49,16 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_upack clean
daq2_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -67,16 +67,16 @@ daq2_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_upack
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_dacfifo
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_upack
####################################################################################
####################################################################################

View File

@ -14,16 +14,16 @@ M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -49,16 +49,16 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_upack clean
daq2_kcu105.sdk/system_top.hdf: $(M_DEPS)
@ -67,16 +67,16 @@ daq2_kcu105.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_upack
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_dacfifo
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_upack
####################################################################################
####################################################################################

View File

@ -14,16 +14,16 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -49,16 +49,16 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_upack clean
daq2_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -67,16 +67,16 @@ daq2_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_upack
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_dacfifo
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_upack
####################################################################################
####################################################################################

View File

@ -15,20 +15,20 @@ M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -54,20 +54,20 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_upack clean
daq2_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -76,20 +76,20 @@ daq2_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/util_upack
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_dacfifo
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_upack
####################################################################################
####################################################################################

View File

@ -14,16 +14,16 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_VIVADO := vivado -mode batch -source
@ -49,16 +49,16 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_ad9152 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
daq3_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -67,16 +67,16 @@ daq3_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_ad9152
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -45,11 +45,11 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_dacfifo clean
@ -59,11 +59,11 @@ fmcadc2_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_dacfifo
####################################################################################

View File

@ -14,14 +14,14 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -47,14 +47,14 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
fmcadc2_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -63,14 +63,14 @@ fmcadc2_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -14,14 +14,14 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -47,14 +47,14 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -63,14 +63,14 @@ fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -45,11 +45,11 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_dacfifo clean
@ -59,11 +59,11 @@ fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
make -C ../../../library/util_bsplit
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_dacfifo
####################################################################################

View File

@ -13,10 +13,10 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_VIVADO := vivado -mode batch -source
@ -42,10 +42,10 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9250 clean
fmcjesdadc1_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -54,10 +54,10 @@ fmcjesdadc1_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9250
####################################################################################
####################################################################################

View File

@ -13,10 +13,10 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_VIVADO := vivado -mode batch -source
@ -42,10 +42,10 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9250 clean
fmcjesdadc1_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -54,10 +54,10 @@ fmcjesdadc1_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9250
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
fmcjesdadc1_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ fmcjesdadc1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################

View File

@ -15,9 +15,9 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,9 +44,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
fmcomms1_ac701.sdk/system_top.hdf: $(M_DEPS)
@ -56,9 +56,9 @@ fmcomms1_ac701.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -15,9 +15,9 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,9 +44,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
fmcomms1_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -56,9 +56,9 @@ fmcomms1_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -15,9 +15,9 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,9 +44,9 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_wfifo clean
fmcomms1_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -56,9 +56,9 @@ fmcomms1_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_dmac
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,12 +14,12 @@ M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -46,12 +46,12 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_wfifo clean
fmcomms1_zc702.sdk/system_top.hdf: $(M_DEPS)
@ -61,12 +61,12 @@ fmcomms1_zc702.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,12 +14,12 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -46,12 +46,12 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_wfifo clean
fmcomms1_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -61,12 +61,12 @@ fmcomms1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -13,15 +13,15 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms1_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -47,15 +47,15 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9643 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_wfifo clean
fmcomms1_zed.sdk/system_top.hdf: $(M_DEPS)
@ -64,15 +64,15 @@ fmcomms1_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9643
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,11 +44,11 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_ac701.sdk/system_top.hdf: $(M_DEPS)
@ -57,11 +57,11 @@ fmcomms2_ac701.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_dmac
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,11 +44,11 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_kc705.sdk/system_top.hdf: $(M_DEPS)
@ -57,11 +57,11 @@ fmcomms2_kc705.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_dmac
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,15 +14,15 @@ M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -48,15 +48,15 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_mitx045.sdk/system_top.hdf: $(M_DEPS)
@ -65,15 +65,15 @@ fmcomms2_mitx045.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,15 +14,15 @@ M_DEPS += ../../common/rfsom/rfsom_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/rfsom/rfsom_system_ps7.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -48,15 +48,15 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_rfsom.sdk/system_top.hdf: $(M_DEPS)
@ -65,15 +65,15 @@ fmcomms2_rfsom.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -44,11 +44,11 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -57,11 +57,11 @@ fmcomms2_vc707.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_dmac
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -13,14 +13,14 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -46,14 +46,14 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_zc702.sdk/system_top.hdf: $(M_DEPS)
@ -62,14 +62,14 @@ fmcomms2_zc702.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -13,14 +13,14 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -46,14 +46,14 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -62,14 +62,14 @@ fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,14 +14,14 @@ M_DEPS += ../zc706/system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -47,14 +47,14 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_zc706pr.sdk/system_top.hdf: $(M_DEPS)
@ -63,14 +63,14 @@ fmcomms2_zc706pr.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -13,16 +13,16 @@ M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -48,16 +48,16 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_wfifo clean
fmcomms2_zed.sdk/system_top.hdf: $(M_DEPS)
@ -66,16 +66,16 @@ fmcomms2_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -15,15 +15,15 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl
M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -49,15 +49,15 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_pr_mitx045.sdk/system_top.hdf: $(M_DEPS)
@ -66,15 +66,15 @@ fmcomms2_pr_mitx045.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,14 +14,14 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl
M_DEPS += ../../fmcomms2_pr/common/prcfg_setup.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -47,14 +47,14 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_wfifo clean
fmcomms2_pr_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -63,14 +63,14 @@ fmcomms2_pr_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
fmcomms5_zc702.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ fmcomms5_zc702.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_dac_unpack/util_dac_unpack.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/util_dac_unpack clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_dac_unpack clean
fmcomms5_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ fmcomms5_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_dac_unpack
make -C ../../../library/util_adc_pack
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_dac_unpack
####################################################################################
####################################################################################

View File

@ -14,11 +14,11 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../common/fmcomms6_bd.tcl
M_DEPS += ../../../library/axi_ad9652/axi_ad9652.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
@ -45,11 +45,11 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9652 clean
make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_wfifo clean
fmcomms6_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -59,11 +59,11 @@ fmcomms6_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9652
make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_wfifo
####################################################################################
####################################################################################

View File

@ -14,16 +14,16 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../common/fmcomms7_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_VIVADO := vivado -mode batch -source
@ -49,16 +49,16 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_ccat clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -67,16 +67,16 @@ fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_ccat
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
####################################################################################
####################################################################################

View File

@ -12,11 +12,11 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/imageon_bd.tcl
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
@ -42,11 +42,11 @@ clean:
clean-all:clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_rx clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
imageon_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -55,11 +55,11 @@ imageon_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_rx
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/imageon_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_rx clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
imageon_zed.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ imageon_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_rx
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -12,11 +12,11 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../imageon_loopback/common/imageon_loopback_bd.tcl
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -42,11 +42,11 @@ clean:
clean-all:clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_i2c_mixer clean
imageon_loopback_zed.sdk/system_top.hdf: $(M_DEPS)
@ -55,11 +55,11 @@ imageon_loopback_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -12,18 +12,18 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../common/motcon2_fmc_bd.tcl
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_gmii_to_rgmii/util_gmii_to_rgmii.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr
M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr
M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adc_pack/util_adc_pack.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_gmii_to_rgmii/util_gmii_to_rgmii.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
@ -49,18 +49,18 @@ clean:
clean-all:clean
make -C ../../../library/util_cpack clean
make -C ../../../library/axi_mc_speed clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_gmii_to_rgmii clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/axi_mc_controller clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_mc_current_monitor clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_mc_controller clean
make -C ../../../library/axi_mc_current_monitor clean
make -C ../../../library/axi_mc_speed clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_adc_pack clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_gmii_to_rgmii clean
make -C ../../../library/util_i2c_mixer clean
motcon2_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
@ -69,18 +69,18 @@ motcon2_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/util_cpack
make -C ../../../library/axi_mc_speed
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_gmii_to_rgmii
make -C ../../../library/util_adc_pack
make -C ../../../library/axi_mc_controller
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_mc_current_monitor
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_mc_controller
make -C ../../../library/axi_mc_current_monitor
make -C ../../../library/axi_mc_speed
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_adc_pack
make -C ../../../library/util_cpack
make -C ../../../library/util_gmii_to_rgmii
make -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################

View File

@ -12,13 +12,13 @@ M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../common/usdrx1_bd.tcl
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_VIVADO := vivado -mode batch -source
@ -44,13 +44,13 @@ clean:
clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9671 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
usdrx1_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -59,13 +59,13 @@ usdrx1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit
make -C ../../../library/axi_ad9671
make -C ../../../library/axi_dmac
make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
####################################################################################
####################################################################################