diff --git a/library/altera/avl_dacfifo/avl_dacfifo.v b/library/altera/avl_dacfifo/avl_dacfifo.v index f2c2a50a5..c22a9aee4 100644 --- a/library/altera/avl_dacfifo/avl_dacfifo.v +++ b/library/altera/avl_dacfifo/avl_dacfifo.v @@ -229,7 +229,7 @@ module avl_dacfifo #( // mux for the dma_ready always @(posedge dma_clk) begin - dma_ready <= (dma_bypass) ? dma_ready_wr_s : dma_ready_bypass_s; + dma_ready <= (dma_bypass) ? dma_ready_bypass_s : dma_ready_wr_s; end // mux for dac data