fmcjesdadc1/a10soc- fix sysref, lvds io and such

main
Rejeesh Kutty 2017-06-15 13:56:50 -04:00
parent ef290ef484
commit 6ec9eab7b9
3 changed files with 3 additions and 2 deletions

View File

@ -6,8 +6,7 @@ create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}
derive_pll_clocks
derive_clock_uncertainty
set_false_path -to [get_registers *sys_gpio_bd|readdata[12]*]
set_false_path -to [get_registers *sys_gpio_bd|readdata[13]*]
set_false_path -to [get_registers *sysref_en_m1*]
set_false_path -from [get_registers *altera_reset_synchronizer:alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out*]
set_false_path -from [get_clocks {sys_clk_100mhz}] -through [get_nets *altera_jesd204*] -to [get_clocks *outclk0*]
set_false_path -from [get_clocks *outclk0*] -through [get_nets *altera_jesd204*] -to [get_clocks {sys_clk_100mhz}]

View File

@ -31,6 +31,7 @@ set_location_assignment PIN_L8 -to spi_sdio ; ## H37 FMCA_HPC_L
set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to ref_clk
set_instance_assignment -name XCVR_VCCR_VCCT_VOLTAGE 1_0V -to rx_data
set_instance_assignment -name IO_STANDARD LVDS -to ref_clk
set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to rx_data[0]
set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to rx_data[1]
set_instance_assignment -name IO_STANDARD "HIGH SPEED DIFFERENTIAL I/O" -to rx_data[2]

View File

@ -142,6 +142,7 @@ module system_top (
assign gpio_bd_o = gpio_o[3:0];
assign spi_csn = spi_csn_s[0];
assign sys_resetn_s = sys_resetn & sys_hps_resetn;
// instantiations