From 7115864b4c3874437ad53765af2c5b4368acb56f Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Mon, 20 Oct 2014 13:23:37 +0300 Subject: [PATCH] ad9434_fmc: Remove top level constraints Remove all the unnecessary top level constraint definitions. --- projects/ad9434_fmc/zc706/system_constr.xdc | 10 +++------- 1 file changed, 3 insertions(+), 7 deletions(-) diff --git a/projects/ad9434_fmc/zc706/system_constr.xdc b/projects/ad9434_fmc/zc706/system_constr.xdc index a98aa359e..c8b7fe26a 100644 --- a/projects/ad9434_fmc/zc706/system_constr.xdc +++ b/projects/ad9434_fmc/zc706/system_constr.xdc @@ -39,13 +39,9 @@ set_property -dict {PACKAGE_PIN Y27 IOSTANDARD LVCMOS25} [get_ set_property -dict {PACKAGE_PIN Y26 IOSTANDARD LVCMOS25} [get_ports spi_dio] ; ## H37 FMC_LPC_LA32_P # clocks - -create_clock -name adc_clk -period 2.00 [get_ports adc_clk_in_p] +create_clock -name adc_clk -period 2.00 [get_ports adc_clk_p] create_clock -name adc_core_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9434/adc_clk] -create_clock -name dma_clk -period 5.00 [get_pins i_system_wrapper/system_i/sys_ps7/FCLK_CLK2] - -set_clock_groups -asynchronous -group {adc_clk} -set_clock_groups -asynchronous -group {adc_core_clk} -set_clock_groups -asynchronous -group {dma_clk} +set_clock_groups -asynchronous -group [get_clocks adc_clk] +set_clock_groups -asynchronous -group [get_clocks adc_core_clk]