diff --git a/library/scripts/adi_ip_alt.tcl b/library/scripts/adi_ip_alt.tcl index d3c31f4d0..4ee538ab1 100644 --- a/library/scripts/adi_ip_alt.tcl +++ b/library/scripts/adi_ip_alt.tcl @@ -171,7 +171,7 @@ proc ad_ip_files {pname pfiles {pfunction ""}} { ################################################################################################### ################################################################################################### -proc ad_ip_intf_s_axi {aclk arstn} { +proc ad_ip_intf_s_axi {aclk arstn {addr_width 16}} { add_interface s_axi_clock clock end add_interface_port s_axi_clock ${aclk} clk Input 1 @@ -184,7 +184,7 @@ proc ad_ip_intf_s_axi {aclk arstn} { set_interface_property s_axi associatedClock s_axi_clock set_interface_property s_axi associatedReset s_axi_reset add_interface_port s_axi s_axi_awvalid awvalid Input 1 - add_interface_port s_axi s_axi_awaddr awaddr Input 16 + add_interface_port s_axi s_axi_awaddr awaddr Input $addr_width add_interface_port s_axi s_axi_awprot awprot Input 3 add_interface_port s_axi s_axi_awready awready Output 1 add_interface_port s_axi s_axi_wvalid wvalid Input 1 @@ -195,7 +195,7 @@ proc ad_ip_intf_s_axi {aclk arstn} { add_interface_port s_axi s_axi_bresp bresp Output 2 add_interface_port s_axi s_axi_bready bready Input 1 add_interface_port s_axi s_axi_arvalid arvalid Input 1 - add_interface_port s_axi s_axi_araddr araddr Input 16 + add_interface_port s_axi s_axi_araddr araddr Input $addr_width add_interface_port s_axi s_axi_arprot arprot Input 3 add_interface_port s_axi s_axi_arready arready Output 1 add_interface_port s_axi s_axi_rvalid rvalid Output 1