From 7ac083b9324fb80177cbd60fc37fdc32284ce58b Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 15 Jun 2017 10:15:59 -0400 Subject: [PATCH] fmcjesdadc1/a10soc- sysref fixes --- projects/fmcjesdadc1/a10soc/system_top.v | 17 +++++++++-------- 1 file changed, 9 insertions(+), 8 deletions(-) diff --git a/projects/fmcjesdadc1/a10soc/system_top.v b/projects/fmcjesdadc1/a10soc/system_top.v index 84b8b3513..0a0a1fcf0 100644 --- a/projects/fmcjesdadc1/a10soc/system_top.v +++ b/projects/fmcjesdadc1/a10soc/system_top.v @@ -110,7 +110,7 @@ module system_top ( // lane interface input ref_clk, - input rx_sysref, + output rx_sysref, output rx_sync, input [ 3:0] rx_data, @@ -133,22 +133,18 @@ module system_top ( wire spi_mosi; wire [ 7:0] spi_csn_s; - // gpio in & out are separate cores - - assign gpio_i[63:32] = gpio_o[63:32]; - // board stuff (max-v-u21) + assign gpio_i[63:32] = gpio_o[63:32]; assign gpio_i[31:12] = gpio_o[31:12]; assign gpio_i[11: 4] = gpio_bd_i; assign gpio_i[ 3: 0] = gpio_o[3:0]; - assign gpio_bd_o = gpio_o[3:0]; + assign spi_csn = spi_csn_s[0]; + // instantiations - assign spi_csn = spi_csn_s[0]; - fmcjesdadc1_spi i_fmcjesdadc1_spi ( .spi_csn (spi_csn_s[0]), .spi_clk (spi_clk), @@ -156,6 +152,11 @@ module system_top ( .spi_miso (spi_miso), .spi_sdio (spi_sdio)); + ad_sysref_gen #(.SYSREF_PERIOD(64)) i_sysref ( + .core_clk (rx_clk), + .sysref_en (gpio_o[32]), + .sysref_out (rx_sysref)); + system_bd i_system_bd ( .rx_core_clk_clk (rx_clk), .rx_data_0_rx_serial_data (rx_data[0]),