From 82115b138e93454c838cd8c22885ef9887ef36e8 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Mon, 3 Mar 2014 13:40:24 -0500 Subject: [PATCH] adv7511/ac701: initial checkin --- projects/adv7511/ac701/system_bd.tcl | 8 + projects/adv7511/ac701/system_project.tcl | 14 ++ projects/adv7511/ac701/system_top.v | 197 ++++++++++++++++++++++ 3 files changed, 219 insertions(+) create mode 100644 projects/adv7511/ac701/system_bd.tcl create mode 100644 projects/adv7511/ac701/system_project.tcl create mode 100644 projects/adv7511/ac701/system_top.v diff --git a/projects/adv7511/ac701/system_bd.tcl b/projects/adv7511/ac701/system_bd.tcl new file mode 100644 index 000000000..3dc8ea794 --- /dev/null +++ b/projects/adv7511/ac701/system_bd.tcl @@ -0,0 +1,8 @@ + +source $ad_hdl_dir/projects/common/ac701/ac701_system_bd.tcl +set_property -dict [list CONFIG.NUM_MI {14}] $axi_cpu_interconnect +set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect +set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect +set_property -dict [list CONFIG.NUM_PORTS {10}] $sys_concat_intc + + diff --git a/projects/adv7511/ac701/system_project.tcl b/projects/adv7511/ac701/system_project.tcl new file mode 100644 index 000000000..a2e972752 --- /dev/null +++ b/projects/adv7511/ac701/system_project.tcl @@ -0,0 +1,14 @@ + + + +source ../../scripts/adi_env.tcl +source $ad_hdl_dir/projects/scripts/adi_project.tcl + +adi_project_create adv7511_ac701 +adi_project_files adv7511_ac701 [list \ + "system_top.v" \ + "$ad_hdl_dir/projects/common/ac701//ac701_system_constr.xdc" ] + +adi_project_run adv7511_ac701 + + diff --git a/projects/adv7511/ac701/system_top.v b/projects/adv7511/ac701/system_top.v new file mode 100644 index 000000000..11d1798c4 --- /dev/null +++ b/projects/adv7511/ac701/system_top.v @@ -0,0 +1,197 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2011(c) Analog Devices, Inc. +// +// All rights reserved. +// +// Redistribution and use in source and binary forms, with or without modification, +// are permitted provided that the following conditions are met: +// - Redistributions of source code must retain the above copyright +// notice, this list of conditions and the following disclaimer. +// - Redistributions in binary form must reproduce the above copyright +// notice, this list of conditions and the following disclaimer in +// the documentation and/or other materials provided with the +// distribution. +// - Neither the name of Analog Devices, Inc. nor the names of its +// contributors may be used to endorse or promote products derived +// from this software without specific prior written permission. +// - The use of this software may or may not infringe the patent rights +// of one or more patent holders. This license does not release you +// from the requirement that you obtain separate licenses from these +// patent holders to use this software. +// - Use of the software either in source or binary form, must be run +// on or directly connected to an Analog Devices Inc. component. +// +// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, +// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A +// PARTICULAR PURPOSE ARE DISCLAIMED. +// +// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, +// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY +// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR +// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, +// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF +// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +// *************************************************************************** +// *************************************************************************** +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module system_top ( + + sys_rst, + sys_clk_p, + sys_clk_n, + + uart_sin, + uart_sout, + + ddr3_addr, + ddr3_ba, + ddr3_cas_n, + ddr3_ck_n, + ddr3_ck_p, + ddr3_cke, + ddr3_cs_n, + ddr3_dm, + ddr3_dq, + ddr3_dqs_n, + ddr3_dqs_p, + ddr3_odt, + ddr3_ras_n, + ddr3_reset_n, + ddr3_we_n, + + sgmii_rxp, + sgmii_rxn, + sgmii_txp, + sgmii_txn, + + phy_rstn, + mgt_clk_p, + mgt_clk_n, + mdio_mdc, + mdio_mdio, + + fan_pwm, + + gpio_lcd, + gpio_led, + gpio_sw, + + iic_rstn, + iic_scl, + iic_sda, + + hdmi_out_clk, + hdmi_hsync, + hdmi_vsync, + hdmi_data_e, + hdmi_data, + + spdif); + + input sys_rst; + input sys_clk_p; + input sys_clk_n; + + input uart_sin; + output uart_sout; + + output [13:0] ddr3_addr; + output [ 2:0] ddr3_ba; + output ddr3_cas_n; + output [ 0:0] ddr3_ck_n; + output [ 0:0] ddr3_ck_p; + output [ 0:0] ddr3_cke; + output [ 0:0] ddr3_cs_n; + output [ 7:0] ddr3_dm; + inout [63:0] ddr3_dq; + inout [ 7:0] ddr3_dqs_n; + inout [ 7:0] ddr3_dqs_p; + output [ 0:0] ddr3_odt; + output ddr3_ras_n; + output ddr3_reset_n; + output ddr3_we_n; + + input sgmii_rxp; + input sgmii_rxn; + output sgmii_txp; + output sgmii_txn; + + output phy_rstn; + input mgt_clk_p; + input mgt_clk_n; + output mdio_mdc; + inout mdio_mdio; + + output fan_pwm; + + output [ 6:0] gpio_lcd; + output [ 7:0] gpio_led; + input [12:0] gpio_sw; + + output iic_rstn; + inout iic_scl; + inout iic_sda; + + output hdmi_out_clk; + output hdmi_hsync; + output hdmi_vsync; + output hdmi_data_e; + output [23:0] hdmi_data; + + output spdif; + + // instantiations + + system_wrapper i_system_wrapper ( + .ddr3_addr (ddr3_addr), + .ddr3_ba (ddr3_ba), + .ddr3_cas_n (ddr3_cas_n), + .ddr3_ck_n (ddr3_ck_n), + .ddr3_ck_p (ddr3_ck_p), + .ddr3_cke (ddr3_cke), + .ddr3_cs_n (ddr3_cs_n), + .ddr3_dm (ddr3_dm), + .ddr3_dq (ddr3_dq), + .ddr3_dqs_n (ddr3_dqs_n), + .ddr3_dqs_p (ddr3_dqs_p), + .ddr3_odt (ddr3_odt), + .ddr3_ras_n (ddr3_ras_n), + .ddr3_reset_n (ddr3_reset_n), + .ddr3_we_n (ddr3_we_n), + .fan_pwm (fan_pwm), + .gpio_lcd_tri_o (gpio_lcd), + .gpio_led_tri_o (gpio_led), + .gpio_sw_tri_i (gpio_sw), + .hdmi_data (hdmi_data), + .hdmi_data_e (hdmi_data_e), + .hdmi_hsync (hdmi_hsync), + .hdmi_out_clk (hdmi_out_clk), + .hdmi_vsync (hdmi_vsync), + .iic_main_scl_io (iic_scl), + .iic_main_sda_io (iic_sda), + .iic_rstn (iic_rstn), + .mdio_mdc (mdio_mdc), + .mdio_mdio_io (mdio_mdio), + .mgt_clk_clk_n (mgt_clk_n), + .mgt_clk_clk_p (mgt_clk_p), + .phy_rstn (phy_rstn), + .sgmii_rxn (sgmii_rxn), + .sgmii_rxp (sgmii_rxp), + .sgmii_txn (sgmii_txn), + .sgmii_txp (sgmii_txp), + .spdif (spdif), + .sys_clk_n (sys_clk_n), + .sys_clk_p (sys_clk_p), + .sys_rst (sys_rst), + .uart_sin (uart_sin), + .uart_sout (uart_sout)); + +endmodule + +// *************************************************************************** +// ***************************************************************************