zc706: mig 2014.4

main
Rejeesh Kutty 2015-01-09 13:53:50 -05:00
parent 0258afbadc
commit 868df1aac8
1 changed files with 3 additions and 5 deletions

View File

@ -1,10 +1,8 @@
# clocks
set_property -dict {PACKAGE_PIN H9 IOSTANDARD LVDS} [get_ports sys_clk_p]
set_property -dict {PACKAGE_PIN G9 IOSTANDARD LVDS} [get_ports sys_clk_n]
set_property -dict {PACKAGE_PIN H9 IOSTANDARD LVDS} [get_ports sys_clk_p]
set_property -dict {PACKAGE_PIN G9 IOSTANDARD LVDS} [get_ports sys_clk_n]
create_clock -name sys_clk -period 5.00 [get_ports sys_clk_p]
set_property -dict {PACKAGE_PIN A8 IOSTANDARD LVCMOS15} [get_ports sys_rst]
set_property -dict {PACKAGE_PIN A8 IOSTANDARD LVCMOS15} [get_ports sys_rst]