From 87c4c73e223ad988c64e7f2ae62da283ad7154fd Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Thu, 25 Sep 2014 16:54:06 +0300 Subject: [PATCH] ad9434: Fix adc_clk constraint ADC clock is 500 Mhz. --- projects/ad9434_fmc/zc706/system_constr.xdc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/projects/ad9434_fmc/zc706/system_constr.xdc b/projects/ad9434_fmc/zc706/system_constr.xdc index a94b73deb..11a8ede8a 100644 --- a/projects/ad9434_fmc/zc706/system_constr.xdc +++ b/projects/ad9434_fmc/zc706/system_constr.xdc @@ -40,7 +40,7 @@ set_property -dict {PACKAGE_PIN Y26 IOSTANDARD LVDS_25} [get # clocks -create_clock -name adc_clk -period 1.66667 [get_ports adc_clk_in_p] +create_clock -name adc_clk -period 2.00 [get_ports adc_clk_in_p] create_clock -name adc_core_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9434/adc_clk] create_clock -name dma_clk -period 5.00 [get_pins i_system_wrapper/system_i/sys_ps7/FCLK_CLK2]