library: remove all constraints for now

main
Rejeesh Kutty 2014-10-07 16:58:54 -04:00
parent 27153fff41
commit 88a3b7f8fd
13 changed files with 18 additions and 72 deletions

View File

@ -1,9 +1,4 @@
create_clock -period [expr 1000/250] -name tx_clk [get_ports tx_clk]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]

View File

@ -20,7 +20,8 @@ adi_ip_files axi_ad9144 [list \
"axi_ad9144_channel.v" \
"axi_ad9144_core.v" \
"axi_ad9144_if.v" \
"axi_ad9144.v" ]
"axi_ad9144.v" \
"axi_ad9144_constr.xdc" ]
adi_ip_properties axi_ad9144
adi_ip_constraints axi_ad9144 [list \

View File

@ -1,9 +1,4 @@
create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]

View File

@ -19,7 +19,8 @@ adi_ip_files axi_ad9234 [list \
"axi_ad9234_pnmon.v" \
"axi_ad9234_channel.v" \
"axi_ad9234_if.v" \
"axi_ad9234.v" ]
"axi_ad9234.v" \
"axi_ad9234_constr.xdc" ]
adi_ip_properties axi_ad9234
adi_ip_constraints axi_ad9234 [list \

View File

@ -1,9 +1,4 @@
create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]

View File

@ -19,7 +19,8 @@ adi_ip_files axi_ad9680 [list \
"axi_ad9680_pnmon.v" \
"axi_ad9680_channel.v" \
"axi_ad9680_if.v" \
"axi_ad9680.v" ]
"axi_ad9680.v" \
"axi_ad9680_constr.xdc" ]
adi_ip_properties axi_ad9680
adi_ip_constraints axi_ad9680 [list \

View File

@ -1,15 +1,4 @@
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
create_clock -period [expr 1000/200] -name fifo_wr_clk [get_ports fifo_wr_clk]
create_clock -period [expr 1000/200] -name fifo_rd_clk [get_ports fifo_rd_clk]
create_clock -period [expr 1000/200] -name m_src_axi_aclk [get_ports m_src_axi_aclk]
create_clock -period [expr 1000/200] -name m_dest_axi_aclk [get_ports m_dest_axi_aclk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_wr_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_rd_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_src_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_dest_axi_aclk]]

View File

@ -28,7 +28,8 @@ adi_ip_files axi_dmac [list \
"splitter.v" \
"response_generator.v" \
"axi_dmac.v" \
"axi_repack.v" ]
"axi_repack.v" \
"axi_dmac_constr.xdc" ]
adi_ip_properties axi_dmac
adi_ip_constraints axi_dmac [list \

View File

@ -1,10 +1,3 @@
create_clock -period [expr 1000/150] -name hdmi_clk [get_ports hdmi_clk]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
create_clock -period [expr 1000/200] -name m_axis_mm2s_clk [get_ports m_axis_mm2s_clk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports hdmi_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_axis_mm2s_clk]]

View File

@ -1,25 +1,2 @@
create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk]
create_clock -period [expr 1000/250] -name tx_clk [get_ports tx_clk]
create_clock -period [expr 1000/100] -name drp_clk [get_ports drp_clk]
create_clock -period [expr 1000/500] -name ref_clk_c [get_ports ref_clk_c]
create_clock -period [expr 1000/500] -name ref_clk_q [get_ports ref_clk_q]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
create_clock -period [expr 1000/100] -name m_axi_aclk [get_ports m_axi_aclk]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports drp_clk]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports ref_clk_c]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports ref_clk_q]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports m_axi_aclk]]
set_false_path -through [get_nets rx_rst]
set_false_path -through [get_nets tx_rst]
set_false_path -through [get_nets */drp_rst]
set_false_path -through [get_nets */gt_rx_rst]
set_false_path -through [get_nets */gt_tx_rst]
set_false_path -through [get_nets */gt_pll_rst]

View File

@ -15,7 +15,8 @@ adi_ip_files axi_jesd_gt [list \
"$ad_hdl_dir/library/common/up_xfer_status.v" \
"$ad_hdl_dir/library/common/up_drp_cntrl.v" \
"$ad_hdl_dir/library/common/up_gt.v" \
"axi_jesd_gt.v" ]
"axi_jesd_gt.v" \
"axi_jesd_gt_constr.xdc" ]
adi_ip_properties axi_jesd_gt
adi_ip_constraints axi_jesd_gt [list \

View File

@ -1,8 +1,4 @@
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports spdif_data_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports S_AXIS_ACLK]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports DMA_REQ_ACLK]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports S_AXI_ACLK]]

View File

@ -11,7 +11,8 @@ adi_ip_files axi_spdif_tx [list \
"$ad_hdl_dir/library/common/dma_fifo.vhd" \
"tx_package.vhd" \
"tx_encoder.vhd" \
"axi_spdif_tx.vhd" ]
"axi_spdif_tx.vhd" \
"axi_spdif_tx_constr.xdc" ]
adi_ip_properties_lite axi_spdif_tx