From 88a3b7f8fde22883374a7b82e2ad1d22ab1617c5 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Tue, 7 Oct 2014 16:58:54 -0400 Subject: [PATCH] library: remove all constraints for now --- library/axi_ad9144/axi_ad9144_constr.xdc | 7 +----- library/axi_ad9144/axi_ad9144_ip.tcl | 3 ++- library/axi_ad9234/axi_ad9234_constr.xdc | 7 +----- library/axi_ad9234/axi_ad9234_ip.tcl | 3 ++- library/axi_ad9680/axi_ad9680_constr.xdc | 7 +----- library/axi_ad9680/axi_ad9680_ip.tcl | 3 ++- library/axi_dmac/axi_dmac_constr.xdc | 13 +---------- library/axi_dmac/axi_dmac_ip.tcl | 3 ++- library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc | 9 +------- library/axi_jesd_gt/axi_jesd_gt_constr.xdc | 23 -------------------- library/axi_jesd_gt/axi_jesd_gt_ip.tcl | 3 ++- library/axi_spdif_tx/axi_spdif_tx_constr.xdc | 6 +---- library/axi_spdif_tx/axi_spdif_tx_ip.tcl | 3 ++- 13 files changed, 18 insertions(+), 72 deletions(-) diff --git a/library/axi_ad9144/axi_ad9144_constr.xdc b/library/axi_ad9144/axi_ad9144_constr.xdc index 5b2d31978..fd40910d9 100644 --- a/library/axi_ad9144/axi_ad9144_constr.xdc +++ b/library/axi_ad9144/axi_ad9144_constr.xdc @@ -1,9 +1,4 @@ -create_clock -period [expr 1000/250] -name tx_clk [get_ports tx_clk] -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] - + diff --git a/library/axi_ad9144/axi_ad9144_ip.tcl b/library/axi_ad9144/axi_ad9144_ip.tcl index 715eb8a72..e6dce8f0f 100644 --- a/library/axi_ad9144/axi_ad9144_ip.tcl +++ b/library/axi_ad9144/axi_ad9144_ip.tcl @@ -20,7 +20,8 @@ adi_ip_files axi_ad9144 [list \ "axi_ad9144_channel.v" \ "axi_ad9144_core.v" \ "axi_ad9144_if.v" \ - "axi_ad9144.v" ] + "axi_ad9144.v" \ + "axi_ad9144_constr.xdc" ] adi_ip_properties axi_ad9144 adi_ip_constraints axi_ad9144 [list \ diff --git a/library/axi_ad9234/axi_ad9234_constr.xdc b/library/axi_ad9234/axi_ad9234_constr.xdc index dbd628502..fd40910d9 100644 --- a/library/axi_ad9234/axi_ad9234_constr.xdc +++ b/library/axi_ad9234/axi_ad9234_constr.xdc @@ -1,9 +1,4 @@ -create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk] -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] - + diff --git a/library/axi_ad9234/axi_ad9234_ip.tcl b/library/axi_ad9234/axi_ad9234_ip.tcl index b1b75291f..02153c704 100644 --- a/library/axi_ad9234/axi_ad9234_ip.tcl +++ b/library/axi_ad9234/axi_ad9234_ip.tcl @@ -19,7 +19,8 @@ adi_ip_files axi_ad9234 [list \ "axi_ad9234_pnmon.v" \ "axi_ad9234_channel.v" \ "axi_ad9234_if.v" \ - "axi_ad9234.v" ] + "axi_ad9234.v" \ + "axi_ad9234_constr.xdc" ] adi_ip_properties axi_ad9234 adi_ip_constraints axi_ad9234 [list \ diff --git a/library/axi_ad9680/axi_ad9680_constr.xdc b/library/axi_ad9680/axi_ad9680_constr.xdc index dbd628502..fd40910d9 100644 --- a/library/axi_ad9680/axi_ad9680_constr.xdc +++ b/library/axi_ad9680/axi_ad9680_constr.xdc @@ -1,9 +1,4 @@ -create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk] -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] - + diff --git a/library/axi_ad9680/axi_ad9680_ip.tcl b/library/axi_ad9680/axi_ad9680_ip.tcl index 9426b317e..77085f974 100644 --- a/library/axi_ad9680/axi_ad9680_ip.tcl +++ b/library/axi_ad9680/axi_ad9680_ip.tcl @@ -19,7 +19,8 @@ adi_ip_files axi_ad9680 [list \ "axi_ad9680_pnmon.v" \ "axi_ad9680_channel.v" \ "axi_ad9680_if.v" \ - "axi_ad9680.v" ] + "axi_ad9680.v" \ + "axi_ad9680_constr.xdc" ] adi_ip_properties axi_ad9680 adi_ip_constraints axi_ad9680 [list \ diff --git a/library/axi_dmac/axi_dmac_constr.xdc b/library/axi_dmac/axi_dmac_constr.xdc index 833278d00..fd40910d9 100644 --- a/library/axi_dmac/axi_dmac_constr.xdc +++ b/library/axi_dmac/axi_dmac_constr.xdc @@ -1,15 +1,4 @@ -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] -create_clock -period [expr 1000/200] -name fifo_wr_clk [get_ports fifo_wr_clk] -create_clock -period [expr 1000/200] -name fifo_rd_clk [get_ports fifo_rd_clk] -create_clock -period [expr 1000/200] -name m_src_axi_aclk [get_ports m_src_axi_aclk] -create_clock -period [expr 1000/200] -name m_dest_axi_aclk [get_ports m_dest_axi_aclk] - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_wr_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports fifo_rd_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_src_axi_aclk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_dest_axi_aclk]] - + diff --git a/library/axi_dmac/axi_dmac_ip.tcl b/library/axi_dmac/axi_dmac_ip.tcl index 4bc9d5672..e498b8de6 100644 --- a/library/axi_dmac/axi_dmac_ip.tcl +++ b/library/axi_dmac/axi_dmac_ip.tcl @@ -28,7 +28,8 @@ adi_ip_files axi_dmac [list \ "splitter.v" \ "response_generator.v" \ "axi_dmac.v" \ - "axi_repack.v" ] + "axi_repack.v" \ + "axi_dmac_constr.xdc" ] adi_ip_properties axi_dmac adi_ip_constraints axi_dmac [list \ diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc index ae7472222..b28b04f64 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc +++ b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc @@ -1,10 +1,3 @@ -create_clock -period [expr 1000/150] -name hdmi_clk [get_ports hdmi_clk] -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] -create_clock -period [expr 1000/200] -name m_axis_mm2s_clk [get_ports m_axis_mm2s_clk] - -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports hdmi_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_axis_mm2s_clk]] - + diff --git a/library/axi_jesd_gt/axi_jesd_gt_constr.xdc b/library/axi_jesd_gt/axi_jesd_gt_constr.xdc index 8a1093c4e..139597f9c 100644 --- a/library/axi_jesd_gt/axi_jesd_gt_constr.xdc +++ b/library/axi_jesd_gt/axi_jesd_gt_constr.xdc @@ -1,25 +1,2 @@ -create_clock -period [expr 1000/250] -name rx_clk [get_ports rx_clk] -create_clock -period [expr 1000/250] -name tx_clk [get_ports tx_clk] -create_clock -period [expr 1000/100] -name drp_clk [get_ports drp_clk] -create_clock -period [expr 1000/500] -name ref_clk_c [get_ports ref_clk_c] -create_clock -period [expr 1000/500] -name ref_clk_q [get_ports ref_clk_q] -create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk] -create_clock -period [expr 1000/100] -name m_axi_aclk [get_ports m_axi_aclk] - -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports drp_clk]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports ref_clk_c]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports ref_clk_q]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]] -set_clock_group -asynchronous -group [get_clocks -of_objects [get_ports m_axi_aclk]] - -set_false_path -through [get_nets rx_rst] -set_false_path -through [get_nets tx_rst] -set_false_path -through [get_nets */drp_rst] -set_false_path -through [get_nets */gt_rx_rst] -set_false_path -through [get_nets */gt_tx_rst] -set_false_path -through [get_nets */gt_pll_rst] - diff --git a/library/axi_jesd_gt/axi_jesd_gt_ip.tcl b/library/axi_jesd_gt/axi_jesd_gt_ip.tcl index 8cd467a71..9e9f45bad 100644 --- a/library/axi_jesd_gt/axi_jesd_gt_ip.tcl +++ b/library/axi_jesd_gt/axi_jesd_gt_ip.tcl @@ -15,7 +15,8 @@ adi_ip_files axi_jesd_gt [list \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ "$ad_hdl_dir/library/common/up_drp_cntrl.v" \ "$ad_hdl_dir/library/common/up_gt.v" \ - "axi_jesd_gt.v" ] + "axi_jesd_gt.v" \ + "axi_jesd_gt_constr.xdc" ] adi_ip_properties axi_jesd_gt adi_ip_constraints axi_jesd_gt [list \ diff --git a/library/axi_spdif_tx/axi_spdif_tx_constr.xdc b/library/axi_spdif_tx/axi_spdif_tx_constr.xdc index 1e365f22d..fd40910d9 100644 --- a/library/axi_spdif_tx/axi_spdif_tx_constr.xdc +++ b/library/axi_spdif_tx/axi_spdif_tx_constr.xdc @@ -1,8 +1,4 @@ -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports spdif_data_clk]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports S_AXIS_ACLK]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports DMA_REQ_ACLK]] -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports S_AXI_ACLK]] - + diff --git a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl index c28d300c4..263f1638e 100644 --- a/library/axi_spdif_tx/axi_spdif_tx_ip.tcl +++ b/library/axi_spdif_tx/axi_spdif_tx_ip.tcl @@ -11,7 +11,8 @@ adi_ip_files axi_spdif_tx [list \ "$ad_hdl_dir/library/common/dma_fifo.vhd" \ "tx_package.vhd" \ "tx_encoder.vhd" \ - "axi_spdif_tx.vhd" ] + "axi_spdif_tx.vhd" \ + "axi_spdif_tx_constr.xdc" ] adi_ip_properties_lite axi_spdif_tx