dma: constraints

main
Rejeesh Kutty 2015-04-06 13:37:01 -04:00
parent 02dfa865b4
commit 8af60576cd
1 changed files with 5 additions and 1 deletions

View File

@ -1,3 +1,7 @@
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports -regexp .*clk$]]
set_false_path -from [get_cells *in_count_gray* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *out_count_gray_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *out_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}]