From 8af60576cd52e5a8c130c305f40b599de4680c66 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Mon, 6 Apr 2015 13:37:01 -0400 Subject: [PATCH] dma: constraints --- library/axi_dmac/axi_dmac_constr.xdc | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/library/axi_dmac/axi_dmac_constr.xdc b/library/axi_dmac/axi_dmac_constr.xdc index 003c75249..de21aa40d 100644 --- a/library/axi_dmac/axi_dmac_constr.xdc +++ b/library/axi_dmac/axi_dmac_constr.xdc @@ -1,3 +1,7 @@ -set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports -regexp .*clk$]] +set_false_path -from [get_cells *in_count_gray* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}] \ + -to [get_cells *out_count_gray_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}] + +set_false_path -to [get_cells *out_m1* -hierarchical -filter {NAME =~ *i_request_arb* && PRIMITIVE_SUBGROUP == flop}] +