axi_dacfifo: Update the constraints

main
Istvan Csomortani 2016-05-24 18:09:27 +03:00
parent 32d46389f2
commit 8caa783f5c
1 changed files with 10 additions and 4 deletions

View File

@ -1,8 +1,14 @@
set_property ASYNC_REG TRUE \
[get_cells -hier *dac_dovf_m_reg*] \
[get_cells -hier *dac_xfer_req_m_reg*] \
[get_cells -hier *dac_dunf_m_reg*]
set_property shreg_extract no [get_cells -hier -filter {name =~ *xfer_req_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *xfer_last_m*}]
set_false_path -to [get_cells axi_xfer_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *dma_rst_m1* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -from [get_cells *dma_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -from [get_cells *axi_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *dma_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -from [get_cells *dac_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *axi_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]